ImageVerifierCode 换一换
格式:DOCX , 页数:17 ,大小:172.85KB ,
资源ID:4737054      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4737054.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(流水灯设计论文.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

流水灯设计论文.docx

1、流水灯设计论文流水灯设计学 校: 电子科技大学中山学院系 另I: 电子信息学院专 业 名称: 通信工程学 生 姓 名: XX 、XXX、XXX组 别: 基础组指导教师姓名、职称: 无完成日期 2011 年11月22日摘要单片微型计算机(Single Chip Microcomputer )简称单片机,是指集成在 一块芯片上的计算机,它具有结构简单、控制功能强、可靠性高、体积小、价格 低等优点。单片机技术作为计算机技术的一个重要分支, 广泛的应用于工业控制、智能化仪器仪表、家用电器、电子玩具等各个领域。近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动 传统控制检测日新月异更新,

2、在实时检测和自动控制的单片机应用系统中, 单片 机往往作为一个核心部件来使用,仅单片机方面的知识是不够的,还应根据具体 硬件结构,以及针对具体应用对象特点的软件结合,加以完善。本设计通过用汇编语言对8952单片机写程序实现了对8个发光二极管的自 如控制,并具有复位和中断功能,另外加上了一组静态数码管以显示流水灯的花 样计数。根据设计要求基本达到了预期的目的。正文首先介绍本课题的背景、研究意义及完成的任务功能;简单描述元器件 和方案的论证;系统硬件的工作原理和软件的设计;最后对本设计进行了总结。关键词:单片机89c52发光二极管中断复位汇编语言1第一章:绪论 41.1课题研究背景 41.2课题研

3、究意义 41.3课题研究任务 42第二章主要电子元器件的简介 52.189c52 52.2晶振 53第三章基本原理 63.1总体设计思路 63.2总设计框图 64第四章设计过程 74.1电源电路的设计 74.2花样灯的设计 75第五章调试 86第六章设计心得 97第七章参考文献 108第八章附录 118.1实物图 118.2流水灯代码 111第一章:绪论1.1课题研究背景微型计算机(Microcomputer )简称微机,是计算机的一个重要分支。人们 通常按照计算机的体积、性能和应用范围等条件,将计算机分为巨型机、大型机、 中型机、小型机和微型机。微型计算机不但具有其他计算机快速、精确、程序控

4、 制等特点,而且还具有体积小、重量轻、功耗低、价格便宜等优点。个人计算机 简称PC( Personal Computer),是微型计算机中应用最广泛的一种,也是近年 来计算机领域中发展最快的一个分支。PC在性能和价格方面适合个人用户购买 和使用,目前,它已经像普通家电一样深入到了家庭和社会生活的各个方面。 而单片机又是PC的一个分支,随着信息技术革命的深入和计算机技术的飞速发展, 单片机的应用越来越广泛,并逐渐成为一门关键的技术学科。单片机实际上是指集成在一个芯片上的微型计算机, 它的各种功能部件,如CPU RAM ROM I/O接口电路,定时/计数器等都制作在一块集成芯片上,构成 一个完整的

5、微型计算机,可以实现微型计算机的基本功能。单片机实质上是一个 芯片,在实际应用中,通常很少将单片机和被控对象直接进行电气连接, 而必须外加各种扩展接口电路、外部设备、被控对象等硬件和软件,才能构成一个单片 机应用系统。单片机具有一些突出的优点:体积小,重量轻,耗电少,电源单一, 功能强,价格低,运行速度快,抗干扰能力强,可靠性高,所以在通信、家电、 工业控制、仪器仪表、汽车等产品中都可以看到单片机的身影。1.2课题研究意义流水灯在现代社会中就有广泛的应用, 大型电子广告牌、霓虹灯、指示牌和 工业控制的控制面板等都有流水灯的应用。而且基于单片机的流水灯的控制系统 利用了单片机的内部资源,如定时器

6、、I/O 口和寄存器等,完成了单片机系统开 发的基本流程,因此具有典型的代表意义,是学习和开发单片机的基本实验之一。1.3课题研究任务开发单片机最小系统,即通过对89c52写程序控制八个LED亮灭,形成花样 流水灯,主要包括电源部分、控制电路部分,显示部分,软件程序部分等。2第二章:主要电子元器件的简介2.189C5289C52是INTEL公司MCS-51系列单片机中基本的产品,它采用 INTEL公司可靠的CHMOS工艺技术制造的高性能 8位单片机,属于标准的 MCS-51的HCMOS产品。它结合了 HMOS的高速和高密度技术及 CHMOS的低功耗特征,它基于标准的MCS-51单片机体系结构和

7、指令系统,属于 80C51增强型单片机版本,集成了时钟输出和向上或向下计数器等更多的功能,适合于类似马达控制等应用场合。 89C52内置8位中央处理单元、256字节内部数据存储器 RAM、8k片内程序存储器( ROM)32个双向输入/输出(I/O) 口、3个16位定时/计数器和 5个两级中断结构,一个全双工串 行通信口,片内时钟振荡电路。此外, 89C52还可工作于低功耗模式,可通过两种 软件选择空闲和掉电模式。 在空闲模式下冻结 CPU而RAM定时器、串行口和中断系统维持其功能。掉电模式下,保存 RAM数据,时钟振荡停止,同时停止芯片内其它功能。89C52 有 PDIP(40pin)和 PL

8、CC(44pin)两种封装形式。2.2晶振晶振用一种能把电能和机械能相互转化的晶体在共振的状态下工作, 以提供稳定,精确的单频振荡。在通常工作条件下, 普通的晶振频率绝对精度可达百万分之五十。 高级的精度 更高。有些晶振还可以由外加电压在一定范围内调整频率,称为压控振荡器( VCO。晶振的作用是为系统提供基本的时钟信号。通常一个系统共用一个晶振,便于各部分保持同步。有些通讯系统的基频和射频使用不同的晶振, 而通过电子调整频率的方法保持同步。 晶振通常与锁相环电路配合使用,以提供系统所需的时钟频率。如果不同子系统需要不同频率的时 钟信号,可以用与同一个晶振相连的不同锁相环来提供。3第三章:基本原

9、理3.1总体设计思路利用89c52设计一个控制器,控制八个发光二极管的亮灭3.2总设计框图电源23路流水灯89c52控制电路显示4第四章设计过程4.1电源电路的设计电子电路要正常工作,电源必不可少,并且电源性能对电路、电子仪器和电 子设备的使用寿命、使用性能等影响很大,尤其在带有感性负载的电路和设备中, 对电源的性能要求更高。在很多应用直流电源设备的场合中,要求为设备电路提 供一个稳定的直流电源,并且要求电源有保护功能。在本单片机电源恰好符合。4.2花样灯的设计Led 要多样式的变化,以不同频率来控制灯的亮灭,以及反映出不同的感受。 由23个发光二极管组成,形成流水部分、箭头部分、闪电部分、

10、SOS部分、烟 花部分。5第五章调试1) 检查电路设计的原理图即导线的链接,并确定导线的链接与电路原理图一致。2) 检查导线的链接,并检查导线是否断路,根据电路原理图,用数字万用表打 到合适的档位,测试各导线对应的按点是否导通。3) 检查试验仪器和器材是否完好,电源直流电压输出正常。发光二极管全部可 以正常发光,电阻和电容全部正常,电位器可以正常调节。芯片工作正常。当按下开关,流水灯可以正常顺畅的流动,完成上述步骤后,接通电源,实现现象为:在电路没有改进之前,流水灯只有正向和逆向的流水功能,在电路改进之后, 流水灯具有正向和中间向两边的多向流水功能, 进一步改进电路之后,流水灯具 有多向多个同

11、步流水功能,及花样。测试并分析:电路连接后,试验现象均正常,在控制流水灯的正个过程中,输出端起到至 关重要的作用,用输出端将输入脉冲按输入顺序依次分配,同过电阻将脉冲传送 给发光二极管,使发光二极管发光。在控制流水灯的流向同时只要改变电阻与发 光二极管的链接顺序即可,且各种发光方式可按自己需要进行具体组合。至此, 流水灯的设计,调试与试验成功。6第六章设计心得在本次设计的过程中,我们发现很多的问题,遇到了很多麻烦,有的问题其 实很简单,主要是对知识的掌握程度不够,扩展知识欠缺,这就要求我们在以后 的学习中,应该注意到这一点,并且应该多读一些课外的文献,只靠书本上的知 识远远不够。更重要的是我们

12、要学会把从书本中学到的知识和实际的电路联系起 来,用实践巩固理论,用理论优化实践,这不论是对我们以后的就业还是学习, 都会起到很大的促进和帮助。在焊接过程中,我们意识到细心和严谨的精神是必不可少,在焊接电路和调 试硬件中不自觉地得到了锻炼。通过本次课程设计,巩固了我们学习过的专业知识,也使我们把理论与实践 从真正意义上相结合了起来;考验了我们借助互联网络搜集、查阅相关文献资料, 和组织材料的综合能力;从中可以自我测验,认识到自己哪方面有欠缺、不足, 以便于在日后的学习中得以改进、 提高!也提醒我们,只有通过团队合作才能制 作出优秀的设计,闭门造车不会取得实质性的成功。 此次课程设计,我们也学到

13、 了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,和与 人合作共同提高,都受益非浅。经过这些天的设计制作,在老师的悉心指导和同学们的热情帮助下, 我们终 于完成了这次的设计,同时也增加了我们以后学习的信心和热情。 再次感谢在这 次设计中帮助我们的指导老师和同学!7第七章:参考文献刘守义.单片机应用技术(第二版).西安电子科技大学出版社,2007.8廖芳.电子产品制作工艺与实训北京电子工业出版社,2010.1刁修睦,杜保强.模拟电子技术与应用北京大学出版社,2008.6潘松 黄继业.EDA技术实用教程.科学出版社.2002.10王爽.汇编语言清华出版社.2003.98第八章:附

14、录8.1实物图8.2流水灯程序#includesbit led仁 POP;sbit led2=P0A1;sbit led3=P0A2;sbit led4=P0A3;sbit led5=P0A4;sbit led6=P0A5;sbit led7=P0A6;sbit led8=P0A7;sbit led9=P1A0;sbit led10=P1Ai;sbit led11= P1A2;sbit led12=P1A3;sbit led13=P1A4;sbit led14=P1A5;sbit led15=PM6;sbit led16=P2A7;sbit led17=P2A6;sbit led18=P2A5

15、;sbit led19=P2A4;sbit led20=P2A3;sbit led2 仁卩2人2;sbit led22=P2A1;sbit led23=P2A0;void delay(int c)int a,b;for (a=c;a0;a_)for(b=110;b0;b-); void main()led16=0;delay(200);led17=0;delay(200);led18=0;delay(200);led19=0;delay(200);led20=0;delay(200);led2 仁0;delay(200);led22=0;delay(200);led23=0;delay(200

16、);led23=1;delay(200);led22=1;delay(200);led21=1;delay(200);led20=1;delay(200);led19=1;delay(200);delay(200);Ied17=1; deIay(200);Ied16=1; delay(200);Ied16=0;led23=0; delay(200);Ied17=0;led22=0; delay(200);Ied18=0;led2 仁0; delay(200);Ied19=0;led20=0; delay(200);Ied16=1;led23=1; delay(200);Ied17=1;led2

17、2=1; delay(200);Ied18=1;led21=1; delay(200);Ied19=1;led20=1; delay(200);Ied16=0;led23=0; delay(200);Ied17=0;led22=0; delay(200);Ied18=0;led2 仁0; delay(200);Ied19=0;led20=0; delay(200);Ied16=1;led23=1; delay(200);Ied17=1;led22=1; delay(200);Ied18=1;led21=1; delay(200);Ied19=1;led20=1; delay(200);Ied1

18、6=0;led23=0; delay(200);Ied17=0;led22=0; delay(200);Ied18=0;led2 仁0;delay(200);led19=0;led20=0;delay(200);Ied16=1;led23=1;delay(200);Ied17=1;led22=1;delay(200);Ied18=1;led21=1;delay(200);led19=1;led20=1;delay(2000);箭头Ied1=0;led8=0;led9=0;led15=0;led16=0;led23=0;delay(50);Ied1=1;led8=1;led9=1;led15=1

19、;led16=1;led23=1;delay(50);Ied2=0;led7=0;led10=0;led14=0;led17=0;led22=0;delay(50);Ied2=1;led7=1;led10=1;led14=1;led17=1;led22=1;delay(50);Ied3=0;led6=0;led11=0;led13=0;led18=0;led2 仁0;delay(50);Ied3=1;led6=1;led1 仁1;led13=1;led18=1;led21=1;delay(50);Ied4=0;led5=0;led12=0;led19=0;led20=0;delay(50);I

20、ed4=1;led5=1;led12=1;led19=1;led20=1;delay(50);Ied1=0;led8=0;led9=0;led15=0;led16=0;led23=0;delay(50);Ied1=1;led8=1;led9=1;led15=1;led16=1;led23=1;delay(50);Ied2=0;led7=0;led10=0;led14=0;led17=0;led22=0;delay(50);Ied2=1;led7=1;led10=1;led14=1;led17=1;led22=1;delay(50);Ied3=0;led6=0;led11=0;led13=0;l

21、ed18=0;led2 仁0;delay(50);Ied3=1;led6=1;led1 仁1;led13=1;led18=1;led21=1;delay(50);Ied4=0;led5=0;led12=0;led19=0;led20=0;delay(50);Ied4=1;led5=1;led12=1;led19=1;led20=1;delay(50);led4=0;led5=0;led11=0;led13=0;led19=0;led20=0;delay(50);led4=1;led5=1;led1 仁1;led13=1;led19=1;led20=1;delay(50);Ied3=0;led6

22、=0;led10=0;led14=0;led18=0;led2 仁0;delay(50);Ied3=1;led6=1;led10=1;led14=1;led18=1;led21=1;delay(50);Ied2=0;led7=0;led9=0;led15=0;led17=0;led22=0; delay(50);Ied2=1;led7=1;led9=1;led15=1;led17=1;led22=1;delay(50);Ied1=0;led8=0;led16=0;led23=0;delay(50);Ied1=1;led8=1;led16=1;led23=1;delay(50);Ied1=0;l

23、ed8=0;led9=0;led15=0;led16=0;led23=0; delay(50);Ied1=1;led8=1;led9=1;led15=1;led16=1;led23=1;delay(50);Ied2=0;led7=0;led10=0;led14=0;led17=0;led22=0;delay(50);Ied2=1;led7=1;led10=1;led14=1;led17=1;led22=1; delay(50);Ied3=0;led6=0;led11=0;led13=0;led18=0;led2 仁0;delay(50);Ied3=1;led6=1;led1 仁1;led13=

24、1;led18=1;led21=1;delay(50);Ied4=0;led5=0;led12=0;led19=0;led20=0;delay(50);Ied4=1;led5=1;led12=1;led19=1;led20=1;delay(50);Ied4=0;led5=0;led11=0;led13=0;led19=0;led20=0;delay(50);Ied4=1;led5=1;led1 仁1;led13=1;led19=1;led20=1;delay(50);Ied3=0;led6=0;led10=0;led14=0;led18=0;led2 仁0; delay(50);Ied3=1;

25、led6=1;led10=1;led14=1;led18=1;led21=1;delay(50);Ied2=0;led7=0;led9=0;led15=0;led17=0;led22=0;delay(50);Ied2=1;led7=1;led9=1;led15=1;led17=1;led22=1;deIay(50);led1=0;led8=0;led16=0;led23=0;delay(50);Ied1=1;led8=1;led16=1;led23=1;delay(50);Ied1=0;led8=0;led9=0;led15=0;led16=0;led23=0; delay(50);Ied1=

26、1;led8=1;led9=1;led15=1;led16=1;led23=1;delay(50);Ied2=0;led7=0;led10=0;led14=0;led17=0;led22=0;delay(50);Ied2=1;led7=1;led10=1;led14=1;led17=1;led22=1; delay(50);Ied3=0;led6=0;led11=0;led13=0;led18=0;led2 仁0;delay(50);Ied3=1;led6=1;led1 仁1;led13=1;led18=1;led21=1;delay(50);Ied4=0;led5=0;led12=0;led

27、19=0;led20=0; delay(50);Ied4=1;led5=1;led12=1;led19=1;led20=1;delay(50);Ied4=0;led5=0;led11=0;led13=0;led19=0;led20=0;delay(50);Ied4=1;led5=1;led1 仁1;led13=1;led19=1;led20=1;delay(50);Ied3=0;led6=0;led10=0;led14=0;led18=0;led2 仁0; delay(50);Ied3=1;led6=1;led10=1;led14=1;led18=1;led21=1;delay(50);Ied

28、2=0;led7=0;led9=0;led15=0;led17=0;led22=0; delay(50);Ied2=1;led7=1;led9=1;led15=1;led17=1;led22=1;delay(50);Ied1=0;led8=0;led16=0;led23=0;delay(50);Ied1=1;led8=1;led16=1;led23=1;delay(2000);Ied16=0; 闪电delay(100);led10=0; delay(IOO); led4=0; delay(IOO);led12=0; delay(IOO);led20=0; delay(IOO);led14=0;

29、 delay(IOO);led8=0; delay(IOO);led16=1; delay(IOO);led1O=1; delay(IOO);led4=1; delay(IOO);led12=1; delay(IOO);led20=1; delay(IOO);led14=1; delay(IOO);led8=1; delay(IOOO);led16=0; delay(IOO);led1O=O; delay(IOO);led4=0; delay(IOO);led12=0; delay(IOO);led20=0; delay(IOO);led14=0; delay(IOO);led8=0; del

30、ay(IOO);led16=1; delay(IOO);led1O=1; delay(IOO);Ied4=1;delay(IOO);Ied12=1;delay(IOO);Ied20=1;delay(IOO);Ied14=1;delay(IOO);Ied8=1;delay(5OO);led16=O;led1O=O;led4=O;led12=O;led2O=O;led14=O;led8=O;deIay(5OO);led16=1;led1O=1;led4=1;led12=1;led2O=1;led14=1;led8=1;deIay(5OO);led16=O;led1O=O;led4=O;led12=O;led2O=O;led14=O;led8=O;deIay(5OO);led16=1;led1O=1;led4=1;led12=1;led2O=1;led14=1;led8=1;deIay(5OO);led16=O;led1O=O;led4=O;led12=O;led2O=O;led14=O;led8=O;deIay(5OO);led16=1;led1O=1;led4=1;led12=1;led2O=1;led14=1;led8=1;delay(IOOO);led2=O;led3=O;led5=O;led6=O;led7=O;led9=O;led12=O;l

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1