ImageVerifierCode 换一换
格式:DOCX , 页数:34 ,大小:546.30KB ,
资源ID:4675480      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4675480.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(通信报告调制自行设计实验.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

通信报告调制自行设计实验.docx

1、通信报告调制自行设计实验BPSK调制自行设计实验 代岳091180024 通信工程一、 实验目的1、熟悉升余弦基带传输信号的特点;2、掌握BPSK编码的方式;3、学习观察BPSK的调制信号;4、熟悉BPSK调制载波包络的变化;二、 实验仪器1、JH5001通信原理基础实验箱 一台2、PC机 一台3、下载线 一根三、 实验原理(一) 基带成型基带传输是频带传输的基础,也是频带传输的等效低通信号表示。基带传输系统的框图如图1所示。图1 基带传输系统的框图如果认为信道特性是理想的,其传输函数为1,那么整个传输系统的传输函数H(f)为: 在寻找对信号基带传输的设计过程中,人们总结了一系列的方法。其中N

2、yquist设计准则为基带传输系统信号设计提供了一个方法。利用该准则一方面可以对信号的频谱进行限制,另一方面又不会产生码间串扰。升余弦信号设计是成功利用Nyquist准则设计的一个例子,其频谱特性如图4。升余弦滤波器的传递函数为:图4 Nyquist升余弦滤波基带传输频域与时域特性示意图成形之后的基带信号经D/A变换之后,直接对载波进行调制。(二)眼图观察对眼图性能判断主要依据图5中所示的主要测量指标。图5 眼图主的性能指标示意图四、 程序设计实验要求:(1)、对用matlab制作升余弦查找表。(设计=0.3,=0.5两种滚降系数的查找表)(2)、升余弦查找表行数较多,应利用fprintf命令

3、批量生成查找表。fprintf(5d%d: ram=8d%d;n,data); data应该是2*M的矩阵。第一行是第一个%d数据,第二行是第二个%d数据。(3)、以上两步骤也可以采用设计升余弦FIR滤波器方式得到。(4)、编写升余弦滤波程序。m序列作为被调制信号,码元速率为28Kbps。m序列输出时钟(28KHz),m序列都需要输出到测试孔。电路分析:实现滤波器的响应,脉冲成形滤波器可以在基带实现,也可以设置在发射机的输出端。一般说来,在基带上脉冲成形滤波器用DSP或FPGA来实现,每个码元一般需采样4个样点,并考虑当前输出基带信号的样点值与个码元有关,由于这个原因使用脉冲成形的数字通信系统

4、经常在调制器中同一时刻存储了几个符号,然后通过查询一个代表了存储符号离散时间波形来输出这几个符号(表的大小为210),这种查表法可以实现高速数字成形滤波,其处理过程如下图所示:编程思路:PART1:升余弦滤波器(以alpha=0.3为例)(1)、升余弦系统的冲击响应如下: Tb对应一个码元的宽度。为了做到无码间干扰,就要满足冲激响应的顶点与另一时刻的冲激响应的零点相对齐。为了在实际系统上可实现,将升余弦冲激响应进行截短,截短后保留前后共6个码元宽度。h(t)在时域上是左右对称的,时间域的正半轴和负半轴都有。但是要实现卷积,必须对h(t)抽样得到h(n)。为了保证还原性,在每个码元宽度内抽样四次

5、。这样每个冲激相应h(n)对应24个离散值。程序为:%*产生h(n)*%i=0;for t=-2.75:0.25:3 i=i+1; h(i)=(sinc(t)*cos(0.3*pi*t)/(1-0.36*t*t+eps);end(2)、输入序列每六位进行编码。先将这六位单极性数字码元进行双极性变换,然后进行插值,每两个码元中间插入3个零。这样,输入也相对应地成为24个离散值,记为a(n)。然后将a(n)与h(n)进行卷积,得到含有47个离散值的输出e(n)。在e(n)中,n=21、22、23、24,是前后六个码元在原始冲激相应h(t)的第一个码元宽度内叠加得到的结果,是我们需要的。为方便下一步

6、将其量化,由于a(n)有26 =64种可能,每组4个采样点,要找出这所有256个值中的最大值和最小值。程序为:%*a(n)和h(n)卷积*%R=zeros(64,4);L=0;S=0;for m=0:63 j=j+1; a=dec2base(m,2,6); %把十进制数变成6位二进制数 a=a-48; a=2*a-1; %单极性变成双极性 a=a(1),0,0,0,a(2),0,0,0,a(3),0,0,0,a(4),0,0,0,a(5),0,0,0,a(6),0,0,0; %每两个码元间插3个0 e=conv(a,h); %卷积 for k=1:4 R(j,k)=e(20+k); %取第一个

7、码元宽度内的四个采样点 if(LR(j,k) S=R(j,k); %找最小值 end end endend(3)、得到最大值L和最小值S后,就可以根据将这些数值量化到0-255的范围内,并变成整数值。 最后将0255个数打印出来,并在格式上注意可在Quartus直接查表使用。程序为:%*量化并输出*%M=zeros(64,4);for m=0:63 u=4.*m; j=j+1; a=dec2base(m,2,6); %把十进制数变成6位二进制数 a=a-48; a=2*a-1; %单极性变成双极性 a=a(1),0,0,0,a(2),0,0,0,a(3),0,0,0,a(4),0,0,0,a(

8、5),0,0,0,a(6),0,0,0; %每两个码元间插3个0 e=conv(a,h); %卷积 for n=1:4 M(m+1,n)=round(e(20+n)+L)*255./(2*L); %量化到0255的范围 end fprintf(8d%d:d_out=8d%d;n,u,M(m+1,3); %先输出第三个数 fprintf(8d%d:d_out=8d%d;n,u+1,M(m+1,4); %再输出第四个数 fprintf(8d%d:d_out=8d%d;n,u+2,M(m+1,1); %输出第一个数 fprintf(8d%d:d_out=8d%d;n,u+3,M(m+1,2); %输

9、出第二个数endstem(M,.);title(alpha=0.3时的采样结果); %打印图像注意:这里输出一种a(n)情况下的e(n)的顺序是3、4、1、2,这是在实际实验时发现由于时序的原因,在FPGA中查表时,并未从0255顺序输出,而是2、3、0、1、6、7、4、5因而在matlab程序中作如上修改。PART2:调制m序列 m序列输出时钟是28kHz,每个码元对应着4个采样点,因此,采样频率为28 kHz4=112kHz。m序列每连续的6个码元进行一次编码。用一个6位的移位寄存器来保存,根据移位寄存器的值进行查表(即由matlab得到的升余弦滤波的表),连续输出对应的四个离散值。alp

10、ha=0.3和alpha=0.5,只是表中数值不一样而已。具体程序见附录的PART2。五、 实验过程记录与分析1、matlab仿真输出图形2、Quartus仿真输出波形分析:上图是当alpha=0.3时的整体仿真图。采样的数值与时钟、m序列相对齐。从图中可以看出,采样时钟是m序列时钟的4倍。3、实验示波器显示波形(1)、以m序列输出时钟作同步信号,同时观察m序列升余弦滤波后波形TPi03。可以看到眼图波形。现象: a=0.3,m序列滤波后眼图 分析:上图所示图形是alpha=0.3时的m序列升余弦滤波后波形。从图中可以看出眼图十分明显,眼皮厚度很小,说明噪声容限较大,抗干扰能力较好。(2)、以

11、m序列作为同步信号,并将调整到动态稳定,同时观察并记录m序列升余弦滤波后波形TPi03。现象: a=0.3,m序列滤波后波形 分析:m序列通过升余弦滤波系统后,其时域波形由原来的矩形脉冲信号变成平滑的曲线,相当于是数字信号变成了模拟信号。对应于被调制的m序列来看,滤波后的波形也呈明显的周期性,只是有一定的时延,并且高低电平相对应。(3)、测量并记录m序列和升余弦滤波TPi03的频谱。比较两者之间的差别。现象:a=0.3,m序列频谱a=0.3,m序列滤波后频谱分析:对于alpha=0.3时,对比上面两图,可以明显地看到,基带信号(m序列)经过升余弦滤波后变为低通带限信号。这样可以消除码间串扰。若

12、未作成型滤波,则会有明显的频谱泄露,造成严重的码间干扰。(4)、以m序列作为同步信号,并将调整到动态稳定,同时观察并记录TPK03波形。现象:a=0.3,m序列调制波形分析:TPK03波形的包络就是第(3)步中得到的滤波后的模拟信号,与图中的m序列对应来看,也有明显的周期性和一定的时延。六、 实验心得本次实验历时大概3周,持续时间较长,由于是对BPSK调制过程进行编程实现,所以比验证性实验要难度大不少,复杂性也高很多,实验过程中碰到了许许多多的问题,也正是如此才显得更具有挑战性。本实验要完成的内容主要有两部分,matlab实现升余弦滤波和FPGA实现对m序列的滤波编程模拟信号。难点在于如何通过

13、matlab输出查表所需的大量数值,这其中结合了数字信号处理的许多知识,比如矩形窗截短、奈奎斯特抽样定理、卷积等,还有通信原理的许多内容,包括基带传输、消除码间干扰等等。因此,本次实验是一个综合性较强的实验,通过本次实验练习,帮助我进一步熟悉了解BPSK调制的过程,加深理解无码间串扰的意义,以及滚降因子对滤波效果的有什么样的影响。照片:实验程序附录:PART1:升余弦滤波matlab函数(1)alpha=0.3时的程序i=0;j=0;for t=-2.75:0.25:3i=i+1;h(i)=(sinc(t)*cos(0.3*pi*t)/(1-0.36*t*t+eps);endR=zeros(6

14、4,4);L=0;S=0;for m=0:63 j=j+1; a=dec2base(m,2,6); a=a-48; a=2*a-1; a=a(1),0,0,0,a(2),0,0,0,a(3),0,0,0,a(4),0,0,0,a(5),0,0,0,a(6),0,0,0; e=conv(a,h); for k=1:4 R(j,k)=e(20+k); if(LR(j,k) S=R(j,k); end end endendfigure,stem(h);title(alpha=0.3时的升余弦);figure,stem(e);title(alpha=0.3时的卷积结果);M=zeros(64,4);f

15、or m=0:63 u=4.*m; j=j+1; a=dec2base(m,2,6); a=a-48; a=2*a-1; a=a(1),0,0,0,a(2),0,0,0,a(3),0,0,0,a(4),0,0,0,a(5),0,0,0,a(6),0,0,0; e=conv(a,h); for n=1:4 M(m+1,n)=round(e(20+n)+L)*255./(2*L); end fprintf(8d%d:d_out=8d%d;n,u,M(m+1,3); fprintf(8d%d:d_out=8d%d;n,u+1,M(m+1,4); fprintf(8d%d:d_out=8d%d;n,u

16、+2,M(m+1,1); fprintf(8d%d:d_out=8d%d;n,u+3,M(m+1,2);endstem(M,.);title(alpha=0.3时的采样结果);%*%(2)alpha=0.5时的程序i=0;j=0;for t=-2.75:0.25:3i=i+1;h(i)=(sinc(t)*cos(0.5*pi*t)/(1-1*t*t+eps);endR=zeros(64,4);L=0;S=0;for m=0:63 j=j+1; a=dec2base(m,2,6); a=a-48; a=2*a-1; a=a(1),0,0,0,a(2),0,0,0,a(3),0,0,0,a(4),

17、0,0,0,a(5),0,0,0,a(6),0,0,0; e=conv(a,h); for k=1:4 R(j,k)=e(20+k); if(LR(j,k) S=R(j,k); end end endendfigure,stem(h);title(alpha=0.5时的升余弦);figure,stem(e);title(alpha=0.5时的卷积结果);M=zeros(64,4);for m=0:63 u=4.*m; j=j+1; a=dec2base(m,2,6); a=a-48; a=2*a-1; a=a(1),0,0,0,a(2),0,0,0,a(3),0,0,0,a(4),0,0,0,

18、a(5),0,0,0,a(6),0,0,0; e=conv(a,h); for n=1:4 M(m+1,n)=round(e(20+n)+L)*255./(2*L); end fprintf(8d%d:d_out=8d%d;n,u,M(m+1,3); fprintf(8d%d:d_out=8d%d;n,u+1,M(m+1,4); fprintf(8d%d:d_out=8d%d;n,u+2,M(m+1,1); fprintf(8d%d:d_out=8d%d;n,u+3,M(m+1,2);endstem(M,.);title(alpha=0.5时的采样结果);PART2:m序列调制主程序(1)al

19、pha=0.3时的程序module bpsk(clk,m_out,spab,d_out,fs_clk,m_clk);input clk;output spab;output reg fs_clk;output reg m_clk;output reg m_out;output reg7:0 d_out;reg5:0 fs_cnt;reg3:0 m; reg1:0 m_cnt;reg5:0 mreg;reg7:0 addr;reg1:0 help;assign spab=0;/采样时钟fs_clk=112kHzalways (posedge clk)begin if(fs_cnt=6d63) b

20、egin fs_clk=fs_clk; fs_cnt=6d0; end else fs_cnt=fs_cnt+6d1;end/码元输出时钟m_clk=28kHzalways (posedge fs_clk)begin if(m_cnt=2d1) begin m_clk=m_clk; m_cnt=2d0; end else m_cnt=m_cnt+2d1;end/m序列产生always (posedge m_clk)begin if(m=4b0000) m=4b1111; else begin m0=m0m3; m3:1=m2:0; m_out=m3; endend/6个码元存入寄存器alway

21、s (posedge m_clk)begin mreg5:1=mreg4:0; mreg0=m_out;end/ 辅助寄存器,同步计数always (posedge fs_clk)begin if(help=2d3) help=2d0; else help=help+2d1;end/升余弦滤波always (posedge fs_clk)begin addr7:2=mreg5:0; addr1:0=help1:0; case(addr)8d0:d_out=8d52;8d1:d_out=8d54;8d2:d_out=8d52;8d3:d_out=8d51;8d4:d_out=8d61;8d5:d

22、_out=8d54;8d6:d_out=8d58;8d7:d_out=8d62;8d8:d_out=8d29;8d9:d_out=8d54;8d10:d_out=8d38;8d11:d_out=8d26;8d12:d_out=8d38;8d13:d_out=8d54;8d14:d_out=8d44;8d15:d_out=8d36;8d16:d_out=8d183;8d17:d_out=8d201;8d18:d_out=8d94;8d19:d_out=8d143;8d20:d_out=8d193;8d21:d_out=8d201;8d22:d_out=8d100;8d23:d_out=8d153

23、;8d24:d_out=8d160;8d25:d_out=8d201;8d26:d_out=8d80;8d27:d_out=8d117;8d28:d_out=8d170;8d29:d_out=8d201;8d30:d_out=8d85;8d31:d_out=8d128;8d32:d_out=8d94;8d33:d_out=8d54;8d34:d_out=8d183;8d35:d_out=8d143;8d36:d_out=8d103;8d37:d_out=8d54;8d38:d_out=8d189;8d39:d_out=8d153;8d40:d_out=8d71;8d41:d_out=8d54;

24、8d42:d_out=8d169;8d43:d_out=8d117;8d44:d_out=8d80;8d45:d_out=8d54;8d46:d_out=8d175;8d47:d_out=8d128;8d48:d_out=8d225;8d49:d_out=8d201;8d50:d_out=8d225;8d51:d_out=8d234;8d52:d_out=8d235;8d53:d_out=8d201;8d54:d_out=8d231;8d55:d_out=8d244;8d56:d_out=8d202;8d57:d_out=8d201;8d58:d_out=8d211;8d59:d_out=8d

25、208;8d60:d_out=8d211;8d61:d_out=8d201;8d62:d_out=8d217;8d63:d_out=8d219;8d64:d_out=8d38;8d65:d_out=8d54;8d66:d_out=8d29;8d67:d_out=8d26;8d68:d_out=8d47;8d69:d_out=8d54;8d70:d_out=8d35;8d71:d_out=8d36;8d72:d_out=8d15;8d73:d_out=8d54;8d74:d_out=8d15;8d75:d_out=8d0;8d76:d_out=8d24;8d77:d_out=8d54;8d78:

26、d_out=8d20;8d79:d_out=8d11;8d80:d_out=8d169;8d81:d_out=8d201;8d82:d_out=8d71;8d83:d_out=8d117;8d84:d_out=8d178;8d85:d_out=8d201;8d86:d_out=8d77;8d87:d_out=8d128;8d88:d_out=8d146;8d89:d_out=8d201;8d90:d_out=8d56;8d91:d_out=8d91;8d92:d_out=8d155;8d93:d_out=8d201;8d94:d_out=8d62;8d95:d_out=8d102;8d96:d

27、_out=8d80;8d97:d_out=8d54;8d98:d_out=8d160;8d99:d_out=8d117;8d100:d_out=8d89;8d101:d_out=8d54;8d102:d_out=8d166;8d103:d_out=8d128;8d104:d_out=8d56;8d105:d_out=8d54;8d106:d_out=8d146;8d107:d_out=8d91;8d108:d_out=8d66;8d109:d_out=8d54;8d110:d_out=8d152;8d111:d_out=8d102;8d112:d_out=8d211;8d113:d_out=8d201;8d114:d_out=8d202;8d115:d_out=8d

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1