ImageVerifierCode 换一换
格式:DOCX , 页数:51 ,大小:72.52KB ,
资源ID:4534719      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4534719.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(eda与vhdl复习资料qll.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

eda与vhdl复习资料qll.docx

1、eda与vhdl复习资料qll选择题练习一、VHDL基本结构1. 一个项目的输入输出端口是定义在 A. 实体中 B. 结构体中 C. 任何位置 D. 进程中 2. 描述项目逻辑功能的是 A. 实体 B. 结构体 C. 配置 D. 进程 3. 关键字ARCHITECTURE定义的是 A. 结构体 B. 进程 C. 实体 D. 配置 4.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库: A.IEEE库 B.VITAL库C.STD库 D.WORK工作库5. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述是 A器件外部特性;B器件的

2、内部功能;C器件的综合约束;D器件外部特性与内部功能。6. 在VHDL中,库可以包含一个或多个 A. 程序包 B. 结构体 C. 输入 D. 输出7. 一个能为VHDL综合器接受,并能作为一个独立的设计单元的完整的VHDL程序成为 A 设计输入 B. 设计输出 C. 设计实体 D. 设计结构 8. Q为输出信号,但内部设计会用到其反馈信号,其正确的端口说明是:A. Q:IN BIT; B. Q:OUT BIT; C. Q:INOUT BIT; D. Q:BUFFER BIT;9.VHDL语言程序结构的特点是把一个设计实体分成A.外部和内部 B.实体和实体说明 C.结构体和结构体说明 D.图形部

3、分和文本部分10. VHDL设计文件的实体说明部分描述的是 A.电路系统的内部结构 B.电路系统的逻辑功能 C.电路系统的主要参数 D.电路系统的外部端口11.VHDL语言程序结构中必不可少的部分是: A.库 B.程序包 C.配置 D.实体和结构体12. 下列选项中,哪些项在VHDL程序设计文件中属于可选部分 A.库和实体 B.实体和结构体 C.结构体和配置 D. 库、程序包和配置13. 关于VHDL中实体说明的格式,以下叙述不正确的是A.实体说明以“ENTITY 实体名 IS”开头,以“END 实体名”结束 B.实体说明中包含类属表和端口说明两部分 C.端口说明中只需要规定端口的模式即可 D

4、.实体名一定要与设计文件同名14. 在VHDL的实体说明中,端口名表的作用是 A.列出所有输入端口的名称 B.列出所有输出端口的名称 C.说明实体输入、输出端口的信号类型及端口模式 D.只定义输入、输出端口的数目15. 在VHDL中,为了使已声明的数据类型、子程序、元件能被其他设计实体调用或共享,可以把它们汇集在 中。 A.设计实体 B.配置 C.结构体 D.库和程序包16.在包含多个结构体的VHDL程序中,必须使用 来选择用于综合和仿真的结构体。 A.If语句 B.Case语句 C.Configuration语句 D.While语句17.在VHDL的端口声明语句中,用 声明端口为双向方向A.

5、IN B.OUT C.INOUT D.BUFFER18. 类属说明的正确格式是: A.GENERIC(delay:TIME=20us); B.GENERIC(delay:TIME:=20us);C.GENERIC(delay TIME=20us); D.GENERIC(delay=TIME:=20us);19. VHDL的设计实体可以被高层次的系统 ,成为系统的一部分 A.输入 B.输出 C.仿真 D.调用20.VHDL常用的库是( )标准库 A.IEEE B.STD C.WORK D.PACKAGE21.VHDL的实体声明部分用来指定设计单元的A.输入端口 B.输出端口 C.引脚 D.以上均

6、可22.以下关于VHDL中的程序包,说法错误的是 A.程序包可定义一些子程序、常量和用户数据类型,供多个设计实体共享 B.用户只能使用VHDL预定义的标准程序包,不能由用户自己定义程序包 C.程序包由程序包声明单元和程序包体单元两部分构成 D.在实体中引用一个程序包的格式为:Use 库名程序包名项目名;23.在VHDL中,为了使已声明的数据类型、子程序、元件能被其他设计实体调用或共享,可以把它们汇集在 中。 A.设计实体 B.程序库 C.结构体 D.程序包24.如果信号a定义为标准逻辑矢量,要使a=a+1;语句合法,则需要打开下面哪些程序包 std_logic_1164 std_logic_u

7、nsigned std_logic_arith std_logic_signedA. 和 B. 和 C.和 D. 和二、VHDL基本语法(一) 标识符1. 1987标准的VHDL语言对大小写是 A. 敏感的 B. 只能用小写 C. 只能用大写 D. 不敏感 2. 关于1987标准的VHDL语言中,对标识符描述正确的是 A. 必须以英文字母开头 B. 可以使用汉字开头 C. 可以使用数字开头 D. 任何字符都可以 3. 1987标准的VHDL语言中,对合法的标识符描述不正确的是 A. 下划线不能用在开头 B. 下划线不能连用 C. 不能使用下划线 D. 下划线不能用在结尾 4. 符合1987标准

8、VHDL的标识符是 A. A_2 B. A+2 C. 2A D. 225. 符合1987VHDL标准的标识符是 A. a_2_3 B. a_ _2 C. 2_2_a D. 2a 6. 不符合1987标准VHDL的标识符是 A. a_1_in B. a_in_2 C. 2_a D. asd_1 7. 不符合1987 标准VHDL的标识符是 A. a2b2 B. a1b1 C. ad12 D. %50 8. 下列标识符中, 是不合法的标识符。A. State0 B. 9moon C. Not_Ack_0 D. signall9. 在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的

9、数据类型中是用什么 表示的。A 小写字母和数字 B. 大写字母数字 C. 大或小写字母和数字 D. 全部是数字 10. 下面哪一个可以用作VHDL中的合法的实体名 。A. OR B. VARIABLE C. SIGNAL D. OUT111. 以下关于VHDL中标识符的说法不正确的是 A.标识符由26个英文字母和数字09以及下划线组成 B.标识符必须由英文字母开始,且不能以下划线结束 C.标识符中可以包含空格 D.标识符不允许与VHDL中的关键字重合(二)数据类型1. 对于大多数主流的VHDL综合器,一般不支持哪个数据类型A.TIME B.BIT C.STRING D.INTEGER2. 在V

10、HDL中,乘“*”和除“/”算术运算的操作数据是 数据类型A. 整型 B. 实型 C. 整型和实型 D.比特型3.如定义SIGNAL b:BIT_VECTOR(0 TO 0),则信号b的位宽是几位?A. 0 B. 1 C. 2 D. 非法语句4. 下面哪个数据类型不能够被综合,仅能用于仿真。A. STD_LOGIC B. INTEGER C. BIT D. REAL5. 下面哪个数据类型只有“真”和“假”两种状态。A. STD_LOGIC B. INTEGER C. BIT D. BOOLEAN6. 关于VHDL数据类型,正确的是 A. 数据类型不同不能进行运算 B. 数据类型相同才能进行运算

11、 C. 数据类型相同或相符就可以运算 D. 运算与数据类型无关 7. 下面数据中属于实数的是 A. 4.2 B. 3 C. 1 D. “11011” 8. 下面数据中属于位矢量的是A. 4.2 B. 3 C. 1 D. “11011” 9. 有如下定义:signal a: character 则下面哪个赋值语句是正确的 A. a=” 11”; B. a=” zz” C. a=z D.a=Z; 10. 关于VHDL数据类型,不正确的是 A. “STD_LOGIC” 是IEEE预定义数据类型 B. “BIT_VECTOR”是IEEE预定义数据类型C. 布尔型数据类型的取值是FALSE和TRUE D

12、. 数据类型为字符型的标识符是区分大小写的。11. 下列哪个数据类型不必事先声明而可以直接引用 A. STD_LOGIC B. STD_LOGIC_VECTOR C. BIT D. 前面三个答案都是错误的 12. STD_LOGIG_1164中定义的高阻是字符 A. X B. x C. z D. Z 13. 要使用std_logic数据类型,必须对IEEE中的 程序包进行声明。 A. std_logic_signed B. std_logic_unsigned C. std_logic_arith D. std_logic_116414. 在STD_LOGIG_1164中字符Z定义为 A. 高

13、阻 B. 弱信号0 C. 弱信号1 D. 初始值 15. 使用STD_LOGIG_1164使用的数据类型时 A. 可以直接调用 B. 必须在库和包集合中声明 C. 必须在实体中声明 D. 必须在结构体中声明 16. 关于转化函数正确的说法是 A. 任何数据类型都可以通过转化函数相互转化 B. 只有特定类型的数据类型可以转化 (枚举不能)C. 任何数据类型都不能转化 D. 前面说法都是错误的 17. 有如下定义:TYPE week IS(sun,mon,tue,wed,thr,fri,sat); 则week的数据类型是 A.字符 B.BIT C.STD_LOGIC D.枚举18. 关于VHDL中

14、的数字,请找出以下数字中数值最小的一个: A. 2#1111_1110# B. 8#276#C. 10#170# D. 16#E#E1(224)19. 关于VHDL中的数字,请找出以下数字中最大的一个: 。A2#1110_1110# B8#376#10#170# D16#E#E120. 在VHDL的IEEE标准库中,预定义的标准逻辑数据STD_LOGIC有 种逻辑值。A 2 B. 3 C. 9 D. 8 (三)运算符1. 关于VHDL运算符优先级的说法正确的是 A. 逻辑运算的优先级最高 B. 关系运算的优先级最高 C. 逻辑运算的优先级最低 D. 关系运算的优先级最低 2. 关于VHDL运算

15、符优先级的说法正确的是 A. NOT的优先级最高 B. AND和NOT属于同一个优先级 C. NOT的优先级最低 D. 前面的说法都是错误的 3. 关于VHDL运算符优先级的说法正确的是 A. 括号不能改变优先级 B. 不能使用括号 C. 括号的优先级最低 D. 括号可以改变优先级 4. 下面哪个不是VHDL运算符。A.算术运算符 B. 连接运算符 C. 关系运算符 D.赋值运算符5. 转换函数TO_BITVECTOR(A)的功能是 A. 将STDLOGIC_VECTOR转换为BIT_VECTOR B. 将REAL转换为BIT_VECTOR C. 将TIME转换为BIT_VECTOR D. 将

16、INTEGER转换为BIT_VECTOR 6. 如果a=1,b=0,则逻辑表达式(a AND b) OR( NOT b AND a)的值是 A. 0 B. 1 C. 2 D. 不确定 7. 关于关系运算符的说法正确的是 如果a和b都是字符型数据,则不能用“=”关系运算符 B. 关系运算只用于整数类型的数据 (还有实数)C. 关系运算的数据类型必须相同 D. 关系运算的数据类型可以不相同 8. 布尔表达式Y=AB+C的正确VHDL表达式是 A.Y=A AND B OR C; B.Y= A AND (B OR C)C.Y=AC+C D.Y=A AND B +C9. 执行下列语句后Q的值等于 。SI

17、GNAL E: STD_LOGIC_VECTOR (2 TO 5);SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2);E0, 4=0, OTHERS=1);QE (2), 4=E (3), 5=1, 7=E (5), OTHERS=E (4);A “11011011” B. “00110100” C. “11011001” D. “00101100” 10. 逻辑操作符XNOR表示 逻辑。A. 异或 B. 或非 C.与非 D. 同或11. 在VHDL中,不需要进行说明而可直接使用的数据类型是A.标准定义的数据类型 B.用户自定义的数据类型 C.IEEE预定义标准数

18、据类型 D.以上均不可以12. 在VHDL中,45_234_287属于( )数据类型 A.整数型 B.比特型 C.实数 D.字符型13. 在VHDL中,加“”和减“一”算术运算的操作数据是 数据类型。 A.整型 B.实型 C.整型或实型 D.任意类型14. 如果语句c”不是操作符号,它只相当与 作用。A. IF B. THEN C. AND D. OR12.在VHDL的顺序语句中中,下面的哪个语句具有优先级。A. IF B. CASE C. FOR_LOOP D.WHILE_LOOP13.下列关于CASE语句的说法不正确的是 。A. 条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。

19、B. CASE语句中必须要有WHEN OTHERS=NULL;语句。C. CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现 。D. CASE语句执行必须选中,且只能选中所列条件语句中的一条。14.在VHDL的FOR_LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部;变量, 事先声明。 A.必须 B.不必 C.其类型要 D.其属性要15.在VHDL中,语句”FOR I IN 0 TO 7 LOOP ”定义循环次数为几次。A. 8 B. 7 C. 0 D.116. 过程调用前需要将过程的过程首和过程体装入 中。 A.源程序 B.结构体 C.程序包 D.设计实体

20、17. 使用下面哪个语句可以使FOR_LOOP语句跳到循环的起点。A. next B. exit C. break D.c ontinue18. 使用下面哪个语句可以使FOR_LOOP语句跳到循环的终点。A. next B. exit C. break D. continue 19. 下面有关while_loop语句说法正确的是A. while_loop循环次数已知;B. 一般综合工具都支持while_loop 语句; C. 循环变量不需要事先定义;D. 以上说法都不正确。(五)并行语句1. 在VHDL中,PROCESS结构内部是由 语句组成的。A. 顺序 B. 顺序和并行 C. 并行 D.任

21、何2. 在VHDL中,PROCESS本身是 语句。A. 顺序 B.顺序和并行 C.并行 D.任何3. 在元件例化语句中,用哪个符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。A. = B. := C. 4. 在VHDL中,含WAIT语句的进程PROCESS的括弧中 再加敏感信号,否则则是非法的。A. 可以 B.不能 C. 必须 D. 有时可以5. 以下对于进程PROCESS的说法,正确的是: A. 进程之间可以通过变量进行通信B. 进程内部由一组并行语句来描述进程功能C. 进程语句本身是并行语句D. 一个进程可以同时描述多个时钟信号的同步时序逻辑6.

22、进程中的信号赋值语句,其信号更新是 。A.按顺序完成; B.比变量更快完成;C.在进程的最后完成;D.以上都不对。7. 下面有关进程中敏感信号的说法正确的是A. 全部敏感信号的变化,才将启动进程。B. 同步进程的敏感信号表中只有时钟信号。C. 异步进程敏感信号表中除时钟信号外,还有其它信号。D. 如果有 WAIT 语句,则不允许有敏感信号表。8. 在下面程序结构 中执行的语句是并行语句:A.进程 B.函数 C.过程 D.结构体9. 在VHDL中,条件信号赋值语句WHEN_ELSE属于 语句。A 并行和顺序 B. 顺序 C. 并行 D. 不存在的 10.下面有关块语句的说法不正确的是A. 块语句

23、本身是并行语句,并且块内部所包含的语句也是并行语句。B. 块语句的使用不影响逻辑功能。C. 块嵌套时,子块声明与父块声明的对象同名时,父块声明将忽略掉子块声明。D. 块语句将一系列并行描述语句进行组合,目的是改善并行语句及其结构的可读性。11. 进程内不能定义:A.常量 B.变量 C.信号 D.子程序12. 下列哪种语句不属于并列语句 A.块语句 B.进程语句 C.结构体配置语句 D.子程序调用语句13. VHDL中对象属性的引用格式为( )。 A.对象:属性 B.对象=属性 C.对象属性 D.对象:属性14. 以下关于VHDL语言中顺序语句和并行语句的区别,不正确的是A.顺序语句按语句的排列

24、顺序执行;并行语句的执行顺序与书写顺序无关。 B.并行语句体现了硬件电路的并行性。 C.顺序语句可直接构成结构体;而并行语句则不能。 D.顺序语句可用于描述模块的算法;并行语句可用于描述模块间的连接关系。15. 以下语句不属于并行语句的是 A.进程语句 B.元件例化语句 C.生成语句 D.循环控制语句16. 在进程PROCESS的括弧中,如果已经有敏感信号,则不能含有 语句否则是非法的。 A.IF B. WAIT C.LOOP D.CASE17. 在VHDL中,条件信号赋值语句WHEN_ELSE属于 语句。 A.并行兼顺序 B.顺序 C.并行 D.非法18. 在元件例化(COMPONENT)语

25、句中,用 符号实现名称映射,将例化元件端口声明语句中的信号名与PORT MAP( )中的信号名关联起来。 A.= B.:= C.19. 在使用元件例化语句时,如采用的是位置关联的方式,则例化的端口表达式(信号)与元件声明语句中的端口。A.名称必须一致,顺序可以不一致;B.名称可以不一致,顺序必须一致; C.名称必须一致,顺序也必须一致; D.名称可以不一致,顺序也可以不一致。20. 进程语句中敏感信号列表的作用是 A.说明进程运行的结果 B.决定进程运行的先后顺序 C.决定进程语句的启动与否 D.实现进程语句的独立性21. 元件例化语句的作用是 A.描述元件模块的算法 B.改善并行语句及其结构

26、的可读性 C.产生一个与某元件完全相同的一组并行元件 D.在高层次设计中引用前面已经设计好的元件或电路模块22. 关于元件例化语句的元件声明的作用,以下说法中正确的是 A.说明所引用元件的逻辑功能 B.说明所引用元件的端口信息 C.说明所引用元件的个数 D.说明所引用元件的存储位置23. 下列语句中,不属于并行语句的是: A.进程语句 B.CASE语句C.元件例化语句 D.WHENELSE语句24. 进程语句中敏感信号列表的作用是 A.说明进程运行的结果 B.决定进程运行的先后顺序 C.决定进程语句的启动与否 D.实现进程语句的独立性25. 在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确的是 A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。B.敏感信号参数表中,应列出进程中使用的所有输入信号;C.进程由说明部分、结构体部分

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1