ImageVerifierCode 换一换
格式:DOCX , 页数:25 ,大小:419.08KB ,
资源ID:4469661      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4469661.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的VGA显示设计及仿真实现.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的VGA显示设计及仿真实现.docx

1、基于FPGA的VGA显示设计及仿真实现本科毕业论文(设计)论文题目 : 基于FPGA的VGA显示设计及仿真实现姓名:XXX学号:XXX班级:XXX年级:XXX专业:通信工程学院:信息工程学院指导教师:XXX完成时间:XXXX 年 X月 XX 日作者声明本毕业论文(设计)是在导师的指导下由本人独立撰写完成的,没有剽窃、抄袭、造假等违反道德、学术规范和其他侵权行为。对本论文(设计)的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。因本毕业论文(设计)引起的法律结果完全由本人承担。毕业论文(设计)成果归XXX所有。特此声明。作者专业:作者学号:作者签名:年 月 日基于FPGA的VGA显示设计

2、及仿真实现XXXThe Design and Implementation of the VGA display based on FPGAXXXX 年 X月 XX 日摘 要本文简述了VGA显示的特点和工作原理,重点介绍了采用自顶向下层次化、模块化的设计方法,在FPGA上实现VGA的显示设计。显示绘图阵列(video graphic array,VGA)接口是LCD液晶显示设备的标准接口,VGA具有分辨率高、显示速率快、颜色丰富等优点。显示器因为其输出信息量大,输出形式多样等特点已经成为现在大多数设计的常用输出设备。在FPGA的设计中可以使用很少的资源,就产生VGA各种信号,再加上VHDL语言

3、灵活的描述方法以及与硬件无关的特点,使得使用VHDL语言基于FPGA芯片实现VGA显示控制成为研究的方向。本文对基于VHDL的VGA的显示控制进行了研究,并设计了VGA显示器彩条信号发生器。所做的主要工作为:(1)在设计中采用了自顶向下的层次化、模块化的设计思想,将整个接口划分为多个模块,利用VHDL语言的描述方法进行了各个功能模块的设计,最终完成了VGA显示的系统设计。(2)运用ISE软件进行仿真。关键词: VHDL;VGA;ISE;彩条信号 AbstractThis paper briefly describes the characteristics and working princi

4、ple of VGA display, focus on using the top-down hierarchical and modular design method, realization of VGA display design on FPGA.Display graphics array (video graphic array, VGA) interface is a standard interface LCD liquid crystal display device, VGA with high resolution, fast display rate, color

5、rich, etc. Display output because of its large amount of information, the output forms characteristics has become the most commonly used output device design now.In the design of FPGA can use less resources, and produce VGA signals, flexible coupled with VHDL language description method and has noth

6、ing to do with the hardware characteristics, make use of VHDL language based on the FPGA chip to realize VGA display control become the direction of research.In this paper, based on VHDL VGA display control is studied, and VGA display color bar signal generator is designed. The main work done as fol

7、lows:(1)In the design USES the top-down hierarchical, modular design thought, will the whole interface is divided into several modules, use of VHDL language description method for the design of each functional module, finally completed the VGA display system design.(2) Using ISE software simulation.

8、Keywords: VHDL ;VGA; ISE; Color bar signal 1 概 述1.1 本选题研究的目的及意义VGA的英文全称是Video Graphic Array,即显示绘图阵列。VGA支持在640480的较高分辨率下同时显示16种色彩或256种灰度,同时在320240分辨率下可以同时显示256种颜色。VGA显示控制器控制图像信号通过电缆传输到显示器上并显示出来。目前的显示器技术主要包括两种:CRT(Cathode Ray Tube,阴极射线管)和LCD(Liquid Crystal Display,液晶显示屏)。CRT通过帧同步信号和行同步信号控制电子枪的电子束逐行逐点地

9、扫描,将电子打在荧光点上,使之发光。通过视觉暂留的作用,看到的就是一副完整的画面。LCD与CRT类似,但CRT是模拟方式的,通过电路控制,电子束可以任意移动;而LCD是数字方式的,只有位置固定的电流通路,所以只能通过电路矩阵逐行扫描,而不能逐点,即一行上所有的点同时工作。VGA接口是一种D型接口,上面共有15针空,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,多数的显卡都带有此种接口。有些不带VGA接口而带有DVI(Digital Visual Interface数字视频接口)接口的显卡,也可以通过一个简单的转接头将DVI接口转成VGA接口,通常没有VGA接口的显卡会附赠这样的

10、转接头。目前大多数计算机与外部显示设备之间都是通过模拟VGA接口连接,计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R,G,B三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT显示器,信号被直接送到相应的处理电路,驱动控制显像管生成图像。而对于LCD、DLP等数字显示设备,显示设备中需配置相应的A/D(模拟/数字)转换器,将模拟信号转变为数字信号。在经过D/A和A/D2次转换后,不可避免地造成了一些图像细节的损失。VGA接口应用于CRT显示器无可厚非,但用于连接液晶之类的显示设备,则转换过程的图像损失会使显示效果略微下降。显示器因

11、为其输出信息量大,输出形式多样等特点已经成为现在大多数设计的常用输出设备。在FPGA的设计中可以使用很少的资源,就产生VGA各种信号。为了通过VGA端口连接显示器显示前端采集到的图像数据,本课题在Xilinx公司的SPARTAN-3E开发板上使用VGA接口在显示器上显示简单的彩条,可以作为整个采集系统显示设计的参考,具有一定的实用价值。1.2本选题国内外研究状况综述自1985年Xilinx公司推出第一片现场可编程逻辑器件(FPGA)至今,FPGA历史已经经历了十几年的发展历史。FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使F

12、PGA越来越多地取代了ASIC的市场,特别是对小批量、多品种的产品需求,是FPGA成为首选。自发明PFGA以来,就不断的推出新器件和开发工具,力求芯片的速度更高、功耗更低。主要推出了Virex系列和SparanTM系列的FPGA,Vriex系列突破了传统FPGA密度和性能限制,使FPGA不仅仅是逻辑模块,而成为一种系统元件。而Spartan系列为替代ASIC的大容量FPGA树立了一个新的低成本标准。本课题使用的就是该公司的SPARTAN-3E开发板。VGA是IBM于1987年提出的一个使用模拟信号的电脑显示标准。在性能上,VGA将16色模式的分辨率提高到了640480,同时VGA新提供了一种具

13、有320200分辨率、256种颜色的图形模式,且所显示的每一种颜色都可从262144(18位)种颜色中选择,VGA的这种色彩显示能力对微机图形/图象软件的发展起到了很大的促进作用先后分别经历了EGA, EGA, VGA, SVGA, XGA, SXGA, UXGA, QXGA, WQXGA, QSXGA, WQSXGA, QUXGA, WQUXGA, 1080P。19201080分辨率(1080P)是美国电影电视工程师协会(SMPTE)制定的最高等级高清数字电视的格式标准,有效显示格式为:19201080,像素数达到207.36万。美国电影电视协会将数字电视扫描线的不同分为:1080p、108

14、0i和720p。1080p是逐行扫描下达到19201080分辨率的显示格式,1080i是隔行扫描达到19201080分辨率的意思,720p是1280720分辨率下逐行扫描的意思。原NTSC国家采用的是108060Hz格式,与NTSC模拟电视场频相同;而欧洲及中国等PAL制国家则采用108050Hz的模式。VGA由于良好的性能迅速开始流行,厂商们纷纷在VGA基础上加以扩充,如将显存提高至1M并使其支持更高分辨率如800600或1024768,这些扩充的模式就称之为VESA(Video Electronics Standards Association,视频电子标准协会)的Super VGA模式,

15、简称SVGA,现在的显卡和显示器都支持SVGA模式。VGA技术的应用主要基于VGA显示卡的计算机、笔记本等设备,而在一些既要求显示彩色高分辨率图像又没有必要使用计算机的设备上,VGA技术的应用却很少见到。1.3 本选题研究的主要内容在撰文之前大量阅读了FPGA和VGA显示的有关资料,学习了相关的硬件知识和原理知识。深入学习和研究了VHDL的应用实例。在了解和对比VGA显示的几种实现方法后,经过思考、比较和讨论,决定以VHDL为VGA显示的描述语言,采用自顶向下层次化、模块化的设计方法,编写VHDL程序。本文主要分为5章,章节安排如下:第1章:绪论。主要介绍了开题的背景和研究意义,以及VGA显示

16、的国内外研究现状。第2章:VGA显示的理论研究。主要介绍VGA显示实现的基本原理。第3章:Xilinx ISE软件的具体使用。主要描述VHDL硬件语言以及介绍Xilinx ISE软件的具体使用。第4章:VGA显示的设计与仿真。VGA显示的VHDL语言实现,并在spartan-3E开发板上实现VGA显示功能,进行综合仿真并验证。第5章:后记。主要介绍本文的优点和不足之处。2 VGA显示的理论研究显示绘图阵列(video graphic array,VGA)接口是LCD液晶显示设备的标准接口,大多应用在显示器与显卡之间,同时还可以用在等离子电视输入图像的模数转换上。VGA具有分辨率高、显示速率快、

17、颜色丰富等优点,同时VGA显示系统还具有成本低、结构简单、应用灵活的优点。VGA显示输出RGB三原色信号,RGB色彩模式是工业界的一种颜色标准,是通过对红(R)、绿(G)、蓝(B)三个颜色通道的变化以及它们相互之间的叠加来得到各式各样的颜色,目前在图像显示领域中应用非常广泛。2.1 VGA显示特点 VGA的显示特点是:(1)扫描格式繁多,分辨率从320200一直延伸到12801024,行频15.870Hz,场频50100Hz。常见的行频有31.4Hz,37.8Hz,57.9Hz,62.5Hz等,常见场频有50Hz,60Hz,70Hz,100Hz,16700K种颜色之分。(2)显示器的显示方式有

18、两种:A/N显示方式和APA显示方式,即文本显示方式和图形显示方式。A/N方式已淘汰不用,目前微机都采用APA图形方式。(3)VGA接口为显示器提供两类信号,一类是数据信号,一类是控制信号。数据信号包括红(Red)、绿(Green)、蓝(Blue)信号,简称RGB信号,控制信号包括水平同步信号和垂直同步信号。输出不同分辨率时,水平同步信号和垂直同步信号的频率也不相同。2.2 VGA 显示原理2.2.1 VGA 显示的工作原理常见的彩色显示器一般由CRT(阴极射线管)构成,彩色是由R(红)、G(绿)、B(蓝)3基色组成。显示是采用逐行扫描的方式,阴极射线枪发出的电子束打在涂有荧光粉的荧光屏上,产

19、生RGB三色基,最后合成一个彩色图像。从荧幕的左上方开始自左向右扫描,每扫完一行图像电子束回到下一行的最左端,每行结束后电子枪回扫的过程中进行消隐。然后重新开始行扫描,消隐,直到扫到荧幕的右下方,电子束回到荧幕的左上方重新开始新的图像扫描,并且在回到荧幕左上方的过程中进行消隐。在消隐过程中不发射电子束。每一行扫描结束时,用HS(行同步)信号进行同步;扫描完所有的行后用VS (场同步)信号进行同步。它的行场扫描时序示意图2.1所示。现以正极性为例,说明CRT的工作过程:R、G、B为正极性信号,即高电平有效。当VS=0,HS=0时,CRT显示的内容为亮的过程,即正向扫描过程约为26us。当一行扫描

20、完毕,行同步HS=1,约需6us,期间,CRT扫描产生消隐,电子束回到CRT的左边下一行的起始位置(X=0,Y=1);当扫描完480行后,CRT的场同步VS=1,产生场同步是扫描线回到CRT的第一行第一列(C=0,Y=0处,约为两个行周期)。HS和VS的时序图。T1为行同步消隐(约为6us);T2为行显示时间(约为26us),T3为场同步消隐(两行周期);T4为场显示时间(480行周期)。图2.1 行场扫描时序示意图2.2.2 VGA 时序分析 通过对VGA显示基本工作原理的分析可知,要实现VGA显示就要解决数据来源、数据存储、时序实现等问题,其中关键还是如何实现VGA时序。基于像素时钟,VG

21、A时序控制器必须产生HS和VS时序信号。像素时钟定义了用于显示一个像素信息的时间,VS信号定义了显示的刷新频率,通常刷新频率在50Hz到120Hz之间。给定刷新频率后即定义了水平扫描频率即HS。VGA的标准参考显示时序如图2.2所示。行时序和帧时序都需要产生同步脉冲(Sync)、显示后沿(Back porch)、显示时序段(Display interval)和显示前沿(Front porch)四个部分。其中场频定义了显示的刷新频率,指定场频后所要扫描的行数指定了水平回扫频率即行频。几种常用模式的时序参数如表2.1所示。图2.2 VGA时序参考图表2.1 VGA时序参考表图像模式行时序(s)场频

22、(lines)1024*768XGA(75Hz)1.22.2130.232876811024*768XGA(60Hz)2.12.515.80.46297683800*600XGA(60Hz)3.22.2201.04236001800*600XGA(75Hz)2.03.820.30.531648013 ISE工具概述随着计算机软件、硬件和集成电路制造技术的飞速发展,数字电路硬件设计复杂程度的快速增长,以及产生的设计成果可继承性的需要,对数字电子系统的设计方法产生了极大的影响,传统的以中小规模集成电路为基础模块、以电路图为表达方式的数字电子系统的设计方法正逐步被EDA技术的设计方法所取代。3.1

23、硬件描述语言硬件描述语言(HDL,Hardware Description Language)是EDA技术的重要组成部分,常用的硬件描述语言有VHDL、Verilog、ABEL等。VHDL是EDA技术的主流硬件描述语言之一,也是本文设计所采用的硬件描述语言。3.1.1 VHDL的发展VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本IEEE-1076(简称87版)之后,各EDA

24、公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以提供VHDL接口。此后VHDL在电子设计领域逐步取代了原有的各种非标准硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,并公布了新版本的VHDL,即IEEE标准的1076-1993版本(简称93版)。现在,VHDL和Verilog HDL作为IEEE的工业标准硬件描述语言,在电子工程领域已成为事实上的通用硬件描述语言。3.1.2 VHDL的特点VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于

25、一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分)。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。VHDL语言能够成为标准化的硬件描述语言并获得广泛应用,它自身必然具有很多其他硬件描述语言所不具备的优点。归纳起来,VHDL语言主要具有以下优点:(1)VHDL语言功能强大,设计方式多样VHDL语言具有强大的语言结构,只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件

26、电路。同时,它还具有多层次的电路设计描述功能。此外,VHDL语言能够同时支持同步电路、异步电路和随机电路的设计实现,这是其他硬件描述语言所不能比拟的。VHDL语言设计方法灵活多样,既支持自顶向下的设计方式,也支持自底向上的设计方法;既支持模块化设计方法,也支持层次化设计方法。(2)VHDL语言具有强大的硬件描述能力VHDL语言具有多层次的电路设计描述功能,既可描述系统级电路,也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时,VHDL语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。VHDL语言的强大描述能力还体现在它具

27、有丰富的数据类型。VHDL语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便给硬件描述带来较大的自由度。(3)VHDL语言具有很强的移植能力对于同一个硬件电路的VHDL语言描述,它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。(4)VHDL语言的设计描述与器件无关采用VHDL语言描述硬件电路时,设计人员并不需要首先考虑选择进行设计的器件。这样,设计人员可以集中精力进行电路设计的优化,而不需要考虑其他的问题。当硬件电路的设计描述完成以后,VHDL语言允许采用多种不同的器件结构来实现。(5)VHDL语言程序易于共享

28、和复用VHDL语言采用基于库(library)的设计方法。在设计过程中,设计人员可以建立各种可再次利用的模块,将这些模块存放在库中,就可以在以后的设计中进行复用。3.1.3 VHDL程序基本结构一般的VHDL程序可以由实体(Entity)、结构体(Architecture)、配置(Configuration)、程序包和程序包体(Package)以及库(Library)5个部分组成,它们是VHDL程序的设计单元。其中实体、配置和程序包属于初级设计单元,主要的功能是进行端口、行为、函数等的定义。结构体和程序包体是次级设计单元,包含了所有行为以及函数的实现代码。其中,程序包和程序包体又属于公用设计单

29、元,即它们是被其他程序模块调用的。库则是一批程序包的集合。图3.1所示为VHDL 程序设计单元之间的关系。 配置 实体 程序包 初级设计单元 次级设计单元 程序包体 结构体图3.1 VHDL 程序设计单元关系图无论是复杂的还是简单的数字模块,用VHDL来描述都至少需要包括两个部分,即实体申明(Entity Declaration)和结构体(Architecture)。其中实体申明用于说明模块的端口,而结构体用于描述模块的功能。3.1.4 VHDL语言的使用(1)实体的申明方法实体是设计的基本模块和设计的初级单元,在分层次设计中,顶层有顶级实体,含在顶级实体中的较低层次的描述为低级实体,通过配置

30、可把顶层实体和底层实体连接起来。实体语句用关键词ENTITY开头,实体名rsff是描述的符号名,在结束实体语句的END rsff之间,实体语句可以用关键词BEGIN把实体语句分成两部分:即BEGIN之前是实体说明,BEGIN之后是实体语句。在ENTITY语句的实体说明部分,常用PORT付语描述实体对外界连接的端口(数目、方向和数据类型)。实体rsff有4个端口,Set/Reset是输入IN模式,Q/QB是输出BUFFER(缓冲)模式,都为BIT类型。实体描述的格式如下:ENTITY 实体名 IS GENERIC(常数名:数据类型:设定值 ;常数名:数据类型:设定值 ); PORT(端口名:端口

31、模式 数据类型;端口名:端口模式 数据类型);END ENTITY 实体名;其中,GENERIC是用于说明设计实体和其外部环境通信的对象,规定端口的大小、实体中子元件的数目、实体的延时特性等。只能用整数类型表示,如整型、时间型等,其他类型的数据不能逻辑综合。格式如下:GENERIC (CONSTANT属性名称:IN子类型标识:=静态表达式,);PORT 关键字用于定义模块的端口,它的格式如下:PORT(SIGNAL 端口名称:方向类型标识BUS :=静态表达式,SIGNAL 端口名称:方向 类型标识BUS :=静态表达式,SIGNAL 端口名称:方向 类型标识BUS :=静态表达式);SIGNAL:SIGNAL 是关键字,但是由于PORT 之后必须是信号类,所以一般可以将SIGNAL 关键字省略。端口名称:是该端口的标识,通常由英文字母和数字组成,但是必须是英文字母打头。方向:定义了端口是输入还是输出,如IN、OUT。表明端口方向的关键字如表3.1所示。表 3.1 端口方向关键字说明表关键字意义

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1