ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:240.86KB ,
资源ID:4465590      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4465590.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(七人表决器课程设计报告综述.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

七人表决器课程设计报告综述.docx

1、七人表决器课程设计报告综述课 程 设 计 课程名称 硬件描述语言与EDA技术 题目名称 硬件描述语言与EDA技术实践学生学院 材料与能源 专业班级 11微电子学(1)班 学 号 学生姓名 指导教师 2014年 6月 27日广东工业大学课程设计任务书题目名称硬件描述语言与EDA技术实践学生学院材料与能源学院专业班级11微电子学(1)班姓 名学 号一、课程设计的内容与要求1. 系统功能分析,分模块层次化设计;2. 实现系统功能的方案设计;3. 编写各功能模块VHDL语言程序;4. 对各功能模块进行编译、综合、仿真和验证;5. 顶层文件设计,可用VHDL语言设计,也可以用原理图设计;6. 整个系统进

2、行编译、综合、仿真和验证;7. 在CPLD/FPGA实验开发系统试验箱上进行硬件验证;8. 按所布置的题目要求,每一位学生独立完成全过程。二、课程设计应完成的工作1. 所要求设计内容的全部工作;2. 按设计指导书要求提交一份报告书;3. 提交电子版的设计全部内容:工程目录文件夹中的全部内容,报告书三、课程设计进程安排序号设计各阶段内容地点起止日期1布置设计题目和要求;收集相关资料。工3317或宿舍6.232方案分析与确定;编写VHDL源程序。工3317或宿舍6.243编写VHDL源程序;编译、综合、仿真、定时分析、适配。工3317或宿舍6.254下载和硬件验证;验收。工33176.265下载和

3、硬件验证;验收;撰写报告工33176.27678四、应收集的资料及主要参考文献1. 陈先朝,硬件描述语言与EDA技术实践指导书,2014年3月2. 曹昕燕等编著,EDA技术实验与课程设计,清华大学出版社,2006年5月3. 刘欲晓等编著,EDA技术与VHDL电路开发应用实践,电子工业出版社,2009年4月4. 刘昌华等编著,数字逻辑EDA设计与实践:MAX+plus与Quartus双剑合璧,国防工业出版社,2009年5. 刘江海主编,EDA技术课程设计,华中科技大学出版社,2009年1月发出任务书日期: 2014年6月 23日 指导教师签名:计划完成日期: 2014年6月 27日 基层教学单位

4、责任人签章:主管院长签章:七人表决器1.设计目的(1)学习和掌握Quartus II软件的基本操作;(2)通过设计七人表决器,掌握基于可编程器件的VHDL硬件描述语言的设计方法;(3)学习用CPLD/FPGA 实践系统硬件验证电路设计的正确性2.设计题目及要求(1)题目:表决器(2)要求:设计一个七人表决器,当赞成人数大于等于四时显示表决通过,同时分别将投票中赞成的人数和反对的人数在数码管上显示出来。3.设计方案:表决器的功能是将所投票者的结果综合起来,超过半数赞成则表示结果通过,反之则不通过。而七人表决器由七个人来投票,当赞成的票数大于或者等于4人,则认为通过;当反对的票数大于或者等于4人时

5、,则认为不通过。所以这次设计中我将用7个数据开关来表示七个人,当对应的拨挡开关输入为1时,表示此人同意;否则若拨挡开关输入为0时,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮,;否则,如果表决的结果为反对,则LED不会被点亮。同时用七段显示数码管来显示赞成的人数和反对的人数。4.实验箱使用说明本次使用的实验箱为KHF3型CPLD/FPGA实践开发系统所用芯片:ACEX1K系列的EP1K30QC208-3,引脚为208个,5980逻辑单元。数据开关SW1至SW7分别代表7人。当数据开关按下时输出为高电平1,代表该投票者赞成,反之输出为低电平0,代表该投票者反对。

6、数码管SEG1为赞成者人数,数码管SEG4为反对者人数LED灯SEG5对应的P190为结果通过指示灯,当灯点亮,则代表投票结果为通过,反之熄灭时代表投票结果不通过。5.设计流程图是6.VHDL程序设计LIBRARY IEEE; -库的说明USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY bjq IS -定义实体bjqPORT -端口说明 ( clk:IN STD_LOGIC; -时钟输入端口 vote:IN STD_LOGIC_VECTOR(6 DOWNTO 0); -七人投票端 LED_pass:OUT S

7、TD_LOGIC; -结果LED灯 LED_approve,LED_object:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); -赞成人数及反对人数显示数码管END ENTITY bjq; ARCHITECTURE bhv OF bjq IS -结构体说明 SIGNAL q:STD_LOGIC; -内部信号说明 SIGNAL q1,q2:STD_LOGIC_VECTOR(6 DOWNTO 0); BEGIN PROCESS(vote) VARIABLE A:INTEGER; -定义变量A用于统计赞成人数 BEGIN A:=0; IF clkEVENT AND clk=1

8、THEN IF vote(0)=1 THEN A:=A+1;END IF; IF vote(1)=1 THEN A:=A+1;END IF; IF vote(2)=1 THEN A:=A+1;END IF; IF vote(3)=1 THEN A:=A+1;END IF; IF vote(4)=1 THEN A:=A+1;END IF; IF vote(5)=1 THEN A:=A+1;END IF; IF vote(6)=1 THEN A:=A+1;END IF;CASE A IS -通过CASE语句选择不同赞成人数下LED数 码管的显示内容 WHEN 0 = q=0;q1=1111110;

9、q2 q=0;q1=0110000;q2 q=0;q1=1101101;q2 q=0;q1=1111001;q2 q=1;q1=0110011;q2 q=1;q1=1011011;q2 q=1;q1=1011111;q2 q=1;q1=1110000;q2 q=Z;q1=ZZZZZZZ;q2=ZZZZZZZ; END CASE; LED_pass=q; -将结果送到LED灯及数码管 LED_approve=q1; LED_object=q2; END IF; END PROCESS;END ARCHITECTURE bhv;7.仿真 端口设置完毕后如下图所示:仿真的最终结果:8.仿真波形分析(

10、1)当输入vote为1100101时,表示有4人投于赞成票,LED_approve显示为0110011,即数码管显示为4,LED_object显示为1111001,即数码管显示为3,LED_pass为高电平即点亮;(2)当输入vote为1100000时,表示有2人投于赞成票,LED_approve显示为1101101,即数码管显示为2,LED_object显示为1011011,即数码管显示为5,LED_pass为低电平即熄灭;(3)当输入vote为1100001时,表示有3人投于赞成票,LED_approve显示为1111001,即数码管显示为3,LED_object显示为0110011,即数

11、码管显示为4,LED_pass为低电平即熄灭;(4)当输入vote为1100010时,表示有3人投于赞成票,LED_approve显示为1111001,即数码管显示为3,LED_object显示为0110011,即数码管显示为4,LED_pass为低电平即熄灭;如此说明,仿真结果符合实际要求需要。9.管脚分配 10.设计所遇到的问题这次设计中所遇到的最大困难在于仿真。由于对仿真的功能不够熟悉,一开始对于输入波形的设置就乱了阵脚。最初时钟信号的输入设置并没有很大问题,但是我并没有考虑到仿真时的end time 。由于end time 设置比较小,使得仿真结果并不完善。后来请教了同学后才发现了这问

12、题。由于输入为多通道输入,每一个vote 信号我都使用了一个random波形,使得输入十分的紊乱,输出结果更是一塌糊涂。后来将vote变成二进制类型输入,并且随机设置了初始值,解决了这个问题。 11.设计的收获和体会 通过这次EDA的课程设计使我对于Quartus II的了解更加深入。不仅学到了如何通过VHDL硬件描述语音来实现可编程器件的运用,还学到了如何利用Quartus II的强大的仿真功能验证器件功能的正确性。但更多的是能够自己动手,这样的实践会比光看书更为有用。在实践中我们可以发现一般书上可能不会出现的问题,这样问题出现了我们便可以通过自己发掘的途径解决问题,加深我们对这问题的认识,所谓实践出真知。 12.参考文献(1)陈先朝,硬件描述语言与EDA技术实践指导书,2014年3月(2)曹昕燕等编著,EDA技术实验与课程设计,清华大学出版社,2006年5月(3)刘欲晓等编著,EDA技术与VHDL电路开发应用实践,电子工业出版社,2009年4月(4)刘昌华等编著,数字逻辑EDA设计与实践:MAX+plus与Quartus双剑合璧,国防工业出版社,2009年(5)刘江海主编,EDA技术课程设计,华中科技大学出版社,2009年1月

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1