ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:209.21KB ,
资源ID:4459120      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4459120.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(正负脉宽数控调制信号发生器课程设计.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

正负脉宽数控调制信号发生器课程设计.docx

1、正负脉宽数控调制信号发生器课程设计目录一、 设计任务与要求-3 二、 总体框图-3三、 选择器件-4 1、设计中所用到的器件-4 2、器件的相关介绍-4(1)D触发器DFF-4(2)非门NOT-6四、 模块功能-6 1、分频器-7 2、计数器-8五、 总体设计电路图-10 1、总体电路图-11(1)工作情况-12(2)模块间的连接关系-12(3)仿真结果-12(4)管脚分配图-13(5)硬件验证-14六、 课程设计心得体会-15正负脉宽数控调制信号发生器一、 设计任务与要求1) 课程设计的任务:此信号发生器可产生占空比可调的方波,高低电平的维持时间由6位二进制数控制。2)课程设计的基本要求 通

2、过课程设计各环节的实践,应达到如下要求: 1、初步掌握数字逻辑电路、EDA分析和设计的基本方法。包括: 根据设计任务和要求,初选电路; 通过研究、设计计算,确定电路方案; 电路仿真及编程、模拟、连线、进行调试; 分析实验结果,写出设计总结报告。 2、培养一定自学能力和独立分析问题、解决问题能力。包括: 学会自己分析、找出解决问题的方法; 对设计中遇到的问题,能独立思考,查阅资料,寻找解决方案; 掌握一些测试电路的基本方法,实验中出现一般故障,能通过“分析、观察、判断、试验、再判断”的基本方法独立解决; 能对实验结果进行分析和评价。 3、掌握编程、仿真、连线、调试等基本技能,巩固常用仪器的正确使

3、用方法。二、 总体框图总体框架图如图1所示: 图1设计思路: 此信号发生器是由两个完全相同的可自加载加法计数器和D触发器组成的,它的输出信号的高低电平脉宽时间可分别由两组6位二进制预置数进行控制。如果将初始值可预置的加法计数器的溢出信号作为本计数器的初始预置加载信号LD,则可构成计数初始值自加载方式的加法计数器,从而构成数控分频器。D触发器的一个重要功能就是均匀输出信号的占空比。三、选择器件1、设计中所用到的器件有:(1)D触发器DFF(1个)(2)非门NOT(2个)(3)计数器CNT6(2个)(4)LED灯(1个)或 示波器2、器件的相关介绍:(1)D触发器DFF该触发器是一个具有使能控制端

4、CLRN的D触发器,具有圆圈的是低电平有效,它的动作特点是输出端状态的转换发生在CP的上升沿,而且触发器所保存下来的状态仅仅取决于CP上升沿到达时D的输入状态,即当触发脉冲有效时, D触发器的输出与激励输入相同。因为触发器输出端状态的转换发生在CP的上升沿,所以这是一个上升沿触发的边沿触发器。它的功能就是均匀输出信号的占空比。D触发器DFF的逻辑符号如图2所示:图2 D触发器DFF的内部结构图如图3所示图3D触发器DFF的功能表如表1所示:表1CPCLRNDQ说明 1XQn保持0 00置1011 置0D触发器的动作时序图如图4所示:图4(2)非门NOT非门又称为反相器,若输入信号A是1,则输出

5、信号Y是0;若输入信号A是1,则输出信号Y是0。非门NOT的逻辑符号如图5所示:图5非门NOT的逻辑功能表如表2所示:表2AY 0 1 1 0四、功能模块1、分频器div 器件图如图8所示: 图8时钟信号接到分频器的输入端clk_in,分频器的输出端div_out接到预置计数器的脉冲输入端CLK。它的作用是将高频信号分成低频信号。分频器div的VHDL程序如下:Library ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY div IS PORT(clk:IN std_logic; divclk:O

6、UT std_logic);END div;ARCHITECTURE one OF div ISBEGINPROCESS(clk)variable cnt:integer range 0 to 1000000;variable temp:std_logic;BEGIN IF clkevent and clk=1 then IF cnt =99999 then cnt:=0; temp:=not temp; ELSE cnt:=cnt+1; END IF; END IF;divclk=temp; END PROCESS;END ONE;仿真波形如图9所示:图9仿真分析:任意给一个时钟信号,分频器

7、将高频信号分成低频信号。2、计数器 器件图如图10所示: 图10此计数器是一个6位二进制数的预置计数器,预置计数器比普通计数器多了一个预置端LD和预置数据端d。当LD=1或0时,在下一个时钟脉冲过后,计数器输出端预置数D,CLK为脉冲信号输入端,时钟信号经分频后接到CLK端, CAO为计数溢出输出端。预置计数器CNT6的VHDL程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY CNT6 IS PORT(CLK,LD:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 63; CAO:OUT STD_LOGIC);E

8、ND CNT6;ARCHITECTURE ART OF CNT6 ISSIGNAL COUNT:INTEGER RANGE 0 TO 63;BEGINPROCESS(CLK,COUNT) ISBEGIN IF CLKEVENT AND CLK=1 THEN IF LD=1 THEN COUNT=D; ELSE COUNT=COUNT+1; END IF; END IF;END PROCESS; PROCESS(CLK,COUNT)IS BEGIN IF CLKEVENT AND CLK=1 THEN IF COUNT=63 THEN CAO=1; ELSE CAO=0; END IF; END

9、 IF; END PROCESS;END ARCHITECTURE ART;仿真结果如图11所示:图11仿真分析:预置数D5.0为任意六位二进制数,预置端LD为1时加载预置数,否则继续计数,当计到63时,输出为1,然后继续从0开始计数。五、 总体设计电路图总体电路图如图12所示:图121、工作情况:在时钟信号和预置数的共同作用下,计数器B的计数溢满产生进位脉冲,触发D触发器,使得D触发器反馈给B一个反馈信号,在下一个时钟脉冲过后,计数器输出端输出预置数。计数器A的进位脉冲经过一个非门,连接到D触发器的使能控制端,触发D触发器,D触发器的反馈信号经过一个非门加载到计数器A的预置端,在下一个时钟脉

10、冲过后,输出端输出计数器A的预置数。计数器A的进位脉冲使输出信号输出正脉冲,计数器B的进位脉冲使输出信号输出负脉冲,同时由D触发器给的反馈信号使A、B分别重新置数,从而控制正负脉冲宽度。2、模块间的连接关系: 两个完全相同的预置数计数器A、B在时钟信号的作用下,计数器A、B的进位脉冲分别触发D触发器,使得D触发器分别产生一个反馈控制信号。 3、仿真结果仿真结果如图13所示:图13仿真分析:预置数A5.0为任意6位二进制数,预置数B5.0为任意6位二进制数, CLK为预置计数器的时钟脉冲,当第一个时钟脉冲到来时,计数器A的进位脉冲使输出信号输出正脉冲,计数器B的进位脉冲使输出信号输出负脉冲。(注

11、意:分频器分频不能太大,否则不会出现仿真波形)4、管脚分配图:用LED灯观察实验结果。以下管脚均为E-PLAY-SOPC CPU板上的FPGA芯片EP1C12的对应管脚:管脚分配图如图14、图15所示:图14图15管脚分配情况:管脚分配情况如表3所示:表3管脚编号管脚定义管脚编号管脚定义105A086B0104 A187B1101A288B2100A393B385A494B484 A595B528 CLK132PSOUT162VGA0161VGA1164VGA2163VGA35、硬件验证通过LED灯观察实验结果:在EDA实验箱上验证所设计的电路,拨码开关105、104、101、100、85、8

12、4分别控制输出低电平脉宽的预置数输入A5.0,拨码开关86、87、88、93、94、95分别控制输出高电平脉宽的预置数输入B5.0,输出端接一个LED灯,当时钟信号到来的时候,根据灯的亮灭来判断输出,灯亮时,表示输出高电平脉宽,灯灭时,表示输出低电平脉宽,通过改变拨码开关,来控制输出脉冲宽度。VGA3.0接0001。(注意:频率要小,否则看不到方波,所以加了一个分频器。)通过示波器来观察波形输出,其输出波形如图14所示 图16从示波器显示的波形可以看出,正负脉宽的时间随输入两组预置数的不同而相应的发生变化从而实现了占空比可调的目的。六、 课程设计心得体会作为一名电子信息系的学生,做课程设计是十

13、分有意义而且是十分必要的一件事情。两周的课程设计结束了,虽然很忙碌、很疲惫,但是收获颇多。刚拿到题目时,不知从何下手,反复的看书查资料,寻求帮助。后来经过老师的辅导,让我对这个题目有了一定的了解,开始设计电路,编写程序,最后进行仿真,在仿真的过程中,出现了很多问题,比如分频器的使用,在硬件验证的时候,分的频率要大,为了更好的观察输出波形,然而在软件操作仿真的时候,却因为频率太大,而无法看到波形。最后在老师的指导下完成了实验的仿真。经过两个星期的EDA课程设计及学习,从对EDA的操纵界面的不熟悉到现在的基本熟练掌握,我收获的不仅仅是知道了quartusII软件的使用方法,更重要的收获是通过两周的

14、思考、分析、设计、修正、动手连接实验电路,从而真正的体会到了数字电路各个项目的功能。以前学习上存在的不足。通过与同学探讨和请教老师,终于把问题都解决了,并加深了对正负脉宽数控调制信号发生器的原理和设计思路的了解。同时也熟练掌握了课程设计的一般流程,为以后的设计也积累了一定的经验。通过此次课程设计的学习,使我感受最深的是实践与理论的相结合,也是对我们以前学的知识的总结和概括,使得我们在设计的过程中体会到了EDA的重要性,体会到了我们所学的知识的用途和方向。 在这次课程设计作业的过程中由于在设计方面我们没有经验,理论基础知识掌握得不牢固,在设计中难免会出现这样那样的问题,这使我们体会到了各个电子元件的使用方法,使我们更熟练的掌握到了这个软件。对于我来说,收获最大的是方法和能力:那种分析和解决问题的能力。在整个课程设计的过程中,我发现我们学生在经验方面十分缺乏,空有理论知识,没有理性的知识;有些东西可能与实际脱节。总体来说,我觉得像课程设计这种类型的作业对我们有很大的帮助,它需要我们将学过的相关知识系统地联系起来,理论联系实际,让自己有更大改进!

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1