ImageVerifierCode 换一换
格式:DOCX , 页数:54 ,大小:1.18MB ,
资源ID:4370600      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4370600.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(电子设计自动化实验指导书2.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

电子设计自动化实验指导书2.docx

1、电子设计自动化实验指导书2前 言主要术语摘要:* EDA(电子设计自动化):Electronic Design Automation* PLD(可编程逻辑器件):Programmable Logical Device* CPLD(复杂可编程逻辑器件):Complex Programmable Logical Device * FPGA(现场可编程门阵列):Field Programmable Gates Array* SOPC(可编程片上系统):System On Programmable Chip* ISP(在系统可编程):In System Programmable * ASIC(专用集成

2、电路):Application Specific Integrated Circuits* JTAG (边界扫描测试技术):Join Test Action Group* VHDL (硬件描述语言):Very high speed IC Hardware Description Language随着电子设计自动化(EDA)技术的不断发展,其含义已经不止局限在当初的类似Protel电路版图的设计自动化概念上,而当今的EDA技术更多的是指芯片内的电路设计自动化。也就是说,开发人员完全可以通过自己的电路设计来定制其芯片内部的电路功能,使之成为设计者自己的专用集成电路(即ASIC)芯片,这就是当今的用

3、户可编程逻辑器件(PLD)技术。可编程器件已有很久的发展历史了,其功能之卓越和成熟已经令当今的电子工程师们赞叹不已,除了它体积小、容量大、I/O口丰富、易编程和加密等优点外,更突出的特点是其芯片的在系统可编程技术。也就是说它不但具有可编程和可再编程的能力,而且只要把器件插在系统内或线路板上,就能对其进行编程或者再编程,这种技术被称为在系统可编程技术,简称ISP技术。ISP技术打破了产品开发时必须先编程后装配的惯例,而可以先装配后编程,成为产品后还可以在系统反复编程。ISP技术使得系统内硬件的功能象软件一样被编程配置,可以说可编程器件真正做到了硬件的“软件化”自动设计,这就是当今的EDA电子设计

4、自动化技术。可以不夸张地说由于可编程器件的出现,传统的(数字)电路设计方法和过程得到了一次革命和飞跃。可编程器件可分为数字可编程器件和模拟可编程器件两类。前者之技术发展已经相当成熟,在大量的电子产品中早已得到了实际应用;后者相对来说发展要晚一些,其现有的芯片功能也比较单一。数字可编程器件按其密度可分为低密度PLD和高密度PLD两种,低密度PLD器件如早期的PAL、GAL等,它们的编程都需要专用的编程器,属半定制ASIC器件;高密度PLD又称复杂可编程逻辑器件,如市场上十分流行的CPLD、FPGA器件,它们属于全定制ASIC芯片,编程时仅需以JTAG方式与计算机并口相连即可。此书将主要以数字可编

5、程逻辑器件(CPLD、FPGA)之设计与应用进行系统描述,有关模拟可编程器件的说明请参考本公司的其他书物。CPLD/FPGA同属于高密度用户可编程逻辑程器件,其芯片门数(容量)等级从几千门几万门、几十万门以上不等,在很大程度上他们具有类似之处,比如其电路设计方法都一样。相比而言,CPLD适合于做各种算法和组合逻辑电路设计,而FPGA更适合完成时序比较多的逻辑电路。由于FPGA芯片采用RAM结构,掉电以后其内部程序将丢失,在形成产品时一般都和其专用程序存储器配合使用。电路设计人员在使用CPLD/FPGA器件进行电路设计时不需过多的考虑它们的区别,因为其电路设计和仿真方法都完全一样,不同之处在于芯

6、片编译适配时生成的下载文件不一样而已;另外,同一价钱的可编程器件,FPGA芯片的门数(容量)要比CPLD芯片的门数(容量)高出好几个数量级。 可编程器件CPLD/FPGA厂商比较众多,如Altera、Lattice、Xilinx、Actel公司等,本公司推出的这套实验系统兼容这几家厂商的CPLD和FPGA芯片。上述几家公司推出的芯均配有功能强大的开发软件,不仅支持多种电路设计方法,如电原理路图、硬件描述语言VHDL等,而且还支持电路仿真和时序分析等功能。有关可编程器件的具体使用在实验系统例程中将详细介绍给读者。 CPLD/FPGA技术发展十分迅速,我们和广大读者一样,也在不断地学习。由于编者水

7、平有限和时间仓促,本指导书中错误和遗漏之处在所难免,衷心希望读者批评指正。 第一部分 实验开发系统概述及使用说明第一章 EDA实验系统一、实验系统概述此系列实验系统针对数字电路设计CPLD/FPGA及模拟电路设计技术有一总体上的概念。其中II、III型均为数字电路设计实验开发系统,IV、V型为数、模混合可编程器件实验开发系统。这些系列设备都能不同程度地满足高校的现代电子技术EDA教学和数字电路及其它相关实验课程的要求。由于可编程器件的设计灵活性,其系统更能满足高校的相关课程设计,毕业设计及大学生电子设计竞赛等。对于程度较高的同学,本系统极其丰富的功能单元和可搭接的灵活性,使他们完全能够做出超出

8、大纲要求的具有复杂性和创造性的综合实验。同时该系统也是从事教学及科研的广大教师和电子工程师的理想开发工具。希望读者能从中得到裨益,并提出宝贵的改进意见。二、配套软件Max+plus II 10.0基础版 (商业版见报价单及相关资料说明) 1.运行环境 Win95/98 2.层次化设计 支持 3.原理图输入 支持 4.文本输入 支持 5.AHDL输入 支持 6.VHDL输入 支持7.原理图设计宏库 基本库 8.仿真和时序分析 支持 9.逻辑综合 支持 10. 硬件编程/下载 支持 11.支持芯片 Max7000全系列(如7000A、7000B、7000E、7000S等)和Max9000系列等Fl

9、ex6000、8000、10K(如10K系列的10K10、20、30、50、10K100等)、10KE系列等三、系统硬件组成 (一)IV型实验箱结构组成:1 CPLD/FPGA适配器板:标准配置是本公司的EPF10k10或MAX7128接口板。主要负责整个系统与不同公司不同类型的芯片实现通讯,下载接口是数字芯片的下载接口(DIGITAL JTAG),主要用于CPLD/FPGA芯片的数据下载。该适配器板目前我公司提供有Altera、Lattice、Xilinx公司的不同门数的芯片。也可根据客户需求专门订做。 扫描驱动类接口:1) 8位八段数码管显示输出系统的显示采用8位8段共阴极数码管(高电平有

10、效),所对应的接口序号为: 8位段驱动接口:a、b、c、d、e、f、g、Dp;8位位驱动采用3-8译码产生,对应的接口为:SEL0SEL2,悬空为高电平,其具体的对应关系如下表所示:LED数码管显示接口及对应的显示状态接口序号数码管SEL2SEL1SEL0状态111第1位亮110第2位亮101第3位亮100第4位亮011第5位亮010第6位亮001第7位亮0xX第8位亮2)48键盘输入本矩阵键盘为48键盘,其接口电路原理图如图Y-5所示,I/O口分别为KIN0、KIN1、KIN2、KIN3、SEL0、SEL1、SEL2,其中SEL0、SEL1、SEL2位于1616点阵区。3)1616位发光二极

11、管(LED)点阵E2PROM(2864),用来保存字符数据信息,接口序号为:数据线:D0D7;地址线:A0A11;片选线:/CE;读有效信号线:/OE;写有效信号线:/WE。1616点阵显示,各驱动接口为:第一行到第十六行对应的为L0L15,第一列到第十六列驱动采用3-8译码,对应的接口为SEL0SEL3。具体对应关系见下表:点阵显示接口对应关系表SEL3SEL2SEL1SEL0点亮列号1111第1列1110第2列1101第3列1100第4列1011第5列1010第6列1001第7列1000第8列0111第9列0110第10列0101第11列0100第12列0011第13列0010第14列00

12、01第15列0000第16列 3通用数字式接口1)18个按键开关。2)18个拨码开关。3)12红、黄、绿发光二极管按交通灯形式排列。4) 8位直线排列LED等。 4模拟器件及接口1)扬声器(高电平TTL驱动)。2)AD558数/模转换(8位,05V电压输出)。有两种输出方式。第一种,将短路子接在左侧的两个铜柱上,转换输出到区域的个孔输出;第二种,将短路子接在右侧的两个铜柱上,转换输出接到的同相输入端。3) LM358单电源二运放。与AD558配合,将的输出接到的同相输入端,作为他的同相输入信号;在的右上脚,有模块,他的信号可作为的反相输入端。 5模拟信号源模块模块中第一排端口为输入口,第二排端

13、口为输出口,分别说明如下:Diff IN:需差分转换信号输入口;Mux IN1:需叠加信号1输入口;Mux IN2:需叠加信号2输入口;Diff OUT+:差分信号正极性输出端口,为Diff IN差分后的信号;Diff OUT-:差分信号负极性输出端口,为Diff IN差分后的信号;Mux OUT:叠加信号输出端口,为Mux IN1与Mux IN2相加后的信号;SIN_OUT 312KHz:正弦信号312KHZ输出端口; 6支持模拟可编程器件 支持Lattice公司的Pac10、20、80芯片组,并增添了单片机和一些信号源。本系统适合做数摸混合电路实验及单片机和可编程器件系统实验,还可方便的扩

14、展部分接口实验等。 7其它:1)E2 PROM 28642)连线若干。3)双时钟源(从4MHZ1HZ)。4)可变电阻器,产生可变的模拟电压量(05V)。5) 支持JTAG方式的下载编程接口。6) 可扩展单元(类似面包板)。EDA-IV实验箱结构框图如下: 图1 EDA-IV型实验箱结构框图图2 EDA-IV型实验箱结构图1、 单片机接口模块本单片机为开放性设计,可自由下载程序,对整个系统无任何影响。可以实现CPLD/FPGA与单片机的接口实验,以及高级的FPGA开发,其对应的接口为:P0口:D0D7;P1口:P10P17;P2口:P20P27;复位信号输出:RESETP3口分别对应为:/RD、

15、/WR、RXD、TXD、T0、T1、INT0、INT1其他接口为:ALE、PSENRESET复位端口提供一高电平脉冲。2、 可调数字信号源时钟信号源可产生从1.2Hz20MHz之间的任意频率。该电路采用全数字化设计,提供的最高方波频率为20MHz,最低频率为1.2Hz,并且频率可以在这个范围办内随意组合变化。整个信号源共有六个输出口(CLK0CLK5),每个输出口输出的频率各不相同,通过JP1JP11这11组跳线来完成设置的,其中:CLK0输出口的频率通过JP7(CLK0)来设置的,这样输出的时钟频率种类为20MHz、10MHz、5MHz、2.5MHz、1.25MHz;CLK1输出口的频率通过

16、JP1(F_SEL1)及JP8(CLK1)来设置,输出频率对应的关系为:FCLK1=20MHzF_SEL1CLK1CLK2输出口的频率通过JP1(F_SEL1)、JP2(F_SEL2)及JP9(CLK2)来设置,输出频率对应的关系为:FCLK2=20MHzF_SEL1F_SEL2CLK2CLK3输出口的频率通过JP1(F_SEL1)、JP2(F_SEL2)、JP3(F_SEL3)及JP10(CLK3)来设置,输出频率对应的关系为:FCLK3=20MHzF_SEL1F_SEL2F_SEL3CLK3CLK4输出口的频率通过JP1(F_SEL1)、JP2(F_SEL2)、JP3(F_SEL3)、JP

17、4(F_SEL4)及JP11(CLK4)来设置,输出频率对应的关系为:FCLK4=20MHzF_SEL1F_SEL2F_SEL3F_SEL4CLK4CLK5输出口的频率通过JP1(F_SEL1)、JP2(F_SEL2)、JP3(F_SEL3)、JP4(F_SEL4)、JP5(F_SEL5)及JP6(CLK5)来设置,输出频率对应的关系为:FCLK5=20MHzF_SEL1F_SEL2F_SEL3F_SEL4F_SEL4CLK5比如要得到1.2Hz的信号,短路子的设置如下: JP1 F_SEL1:1/16 JP2 F_SEL2:1/16JP3 F_SEL3:1/16JP4 F_SEL4:1/16

18、JP5 F_SEL5:1/16JP6 F_SEL6:1/16JP7 CLK0: 1.25M 信号输出CLK5。四、CPLD/FPGA可编程器件的设计过程 可编程器件的设计过程与单片机开发的过程类似,同样包括程序的编辑、编译适配、仿真调试及下载实现的几个部分,具体流程如下图所示。五、硬件安装及使用说明本实验开发系统在出厂时均已按用户要求配备好了相关的主芯片适配器、编程电缆、实验指导书、连接导线及相关软件。II、III、IV型实验箱均自带开关电源,用户只需接入220V电源即可。本实验装置无需用户添加其它设备,仅需与计算机连接便可进行所有实验。硬件安装及使用中需要注意的几个步骤如下:1、 打开实验箱

19、,检查并核实设备完好及附件齐全;2、检查下载编程电缆标识:不同公司的编程电缆是不同的,如Altera公司的编程电缆出厂时标有Altera字样、Lattice公司的的电缆有两种:一种是数字器件编程电缆,标有Lattice字样;另一种是模拟芯片编程电缆,其上标有PAC字样,其它厂家类似。使用时一定要注意编程电缆不能混用,否则程序不能正确下载。同一厂家的CPLD/FPGA芯片的下载电缆是一样的。3、安装下载编程电缆:确保欲安装的下载电缆与当前实验箱上适配器芯片相一致,然后将其25针的接插头连接到计算机的并行口上,另一端连接到实验箱的JTAG编程座上,并确保电缆两断接触良好。注意,IV型实验箱上有两个

20、编程接口,一个是数字编程接口,标有DIGITAL字样、一个是模拟芯片编程接口,标有ANALOG字样,注意不要接错。I、II、III型实验箱均只有一个数字芯片编程接口,位于实验箱的左上角。4、开启实验箱电源,电源指示灯亮。本电源为5V开关电源,有短路及自恢复等功能。如电源指示灯不亮,请检查是否已接入220V电源或由其它原因所致。5、硬件安装结束,并打开实验箱电源,此时便可进行软件编程下载。程序正常下载时其编程接口旁边的状态指示灯处于闪烁状态,如不能正确下载请检查是硬件问题还是软件设置问题?如不能最终排除故障请急时与我们联系。6、实验时严禁带电接插,以防损坏电路芯片。如有异常要急时切断电源并排除故

21、障。第二章 EDA/SOPC实验系统EDA/SOPC实验系统是集EDA和SOPC开发为一体的综合性实验系统,它不仅可以独立完成几乎所有的EDA设计,也可以完成大多数的SOPC系统。采用Altera公司的Cyclone系列的12万门FPGA为核心,整个系统采用模块化设计,各个模块之间可以自由组合,使得该实验系统的灵活性大大提高。同时实验系统还提供了丰富的接口模块,供人面机交互,从而大大增加了实验开发者的乐趣,满足了普通高等院校、科研人员等的需求。开发工程师可以使用VHDL语言、Verilog HDL语言、原理图输入等多种方式,利用Altera公司提供的QuartusII及Nios软件进行编译,下

22、载,并通过EDA/SOPC实验系统进行结果验证。实验系统提供多种人机交互方式,如键盘阵、按键、拨档开关输入;七段数码管、大屏幕图形点阵LCD显示;串口通信;VGA接口、PS2接口、USB接口、Ethernet接口等,利用Altera公司提供的一些IP资源和Nios32位处理器,用户可以在该实验系统上完成不同的SOPC设计。EDA/SOPC实验系统提供的资源有: Altera公司的EP1C6Q240C8, 12万门级FPGA,另外可以选配更高资源的FPGA。 FPGA配置芯片采用可在线编程的EPC2,通过JTAG口和简单的跳线即可完成设计的固化。 1个数字时钟源,提供48MHZ、12MHZ、1M

23、HZ、100KHZ、10KHZ、1KHZ、100HZ、10HZ、2HZ和1HZ等多个时钟。 1个模拟信号源,提供频率和幅度可调的正弦波、三角波和方波。 两个串行接口,一个用于SOPC开发时的调试,另一个可以完成其它的通信。 1个VGA接口。 1个PS2接口,可以接键盘或鼠标。 1个USB接口,利用PDIUSBD12芯片实现USB协议转换。 1个Ethernet接口,利用RTL8019芯片实现TCP/IP协议转换。 基于SPI接口的音频CODEC模块。 1个输入、输出探测模块,供数字信号的观察。 16个LED显示。 8个拨档开关输入。 8个按键输入。 1个44键盘阵列。 8个七段管显示。 1个扬

24、声器模块。 1个交通灯模块。 1个直流电机模块。 1个高速AD和1个高速DA。 240128大屏幕图形点阵LCD显示。 存储器模块提供256K32Bit的SRAM和2M8Bit的FLASH ROM。下面就部分模块作简要介绍。FPGA模块FPGA采用Altera公司的Cyclone系列的EP1C6Q240C8,该芯片采用240脚的PQFP封装,提供185个IO接口。该芯片拥有5980个Les;20个M4K RAM Block;总共可以提供92160Bit的RAM;另外芯片内部还自带有2个锁相环,可以在高速运行的时候保证系统时钟信号的稳定性。FPGA与实验箱上提供的各个模块都已经连接好,这样就避免

25、了实验过程中繁琐的连线以及由于连线造成的不稳定的后果。配置模块配置芯片采用要在线多次编程的EPC2,该芯片通过JTAG下载,即可完成FPGA设计的固化。这样就避免了用户需要多条电缆或者需要编程器才能完成固化的任务,同时也方便了用户只需一条电缆即可完成FPGA的配置和EPC2的编程。时钟模块时钟的产生由有源晶振产生48MHZ的时钟信号,再由CPLD分频完成多种时钟信号的产生。时钟信号已经在系统板上连接到FPGA的全局时钟引脚,只需要通过时钟模块的简单跳线,即可完成FPGA时钟频率的选择。USB模块USB模块采用Philips公司的PDIUSBD12芯片,它通常用作微控制器系统中实现与微控制器进行

26、通信的高速通用串行接口,它还支持本地的DMA传输。PDIUSBD12完全符合USB1.1版的规范,它还符合大多数器件的分类规格:成像类、海量存储器件、通信器件、打印设备以及人面接口设备。另外,该芯片还集成了许多特性,包括SoftConnetTM、GoodLink、可编程时钟输出、低频晶振和终止寄存器集合,所有这些特性都为系统显著的节约了成本,同时使USB功能在外设上的应用变得容易。存储器模块实验系统上提供了256K32Bit的SRAM和2M8Bit的FLASH ROM,其中SRAM主要是为了在开发SOPC时存放可执行代码和程序中用到的变量,而FLASH则是用来固化调试好的SOPC代码等。SRA

27、M选用两片ISSI公司的IS61LV25616(256K16Bit)进行数据线并联从而扩展为256K32Bit的存储区;FLASH ROM采用AMD公司的AM29LV017D,其容量为2Mbyte。Ethernet模块Ethernet模块采用的TCP/IP转换芯片为RTL8019AS芯片,该芯片是一款高集成度、全双工以太网控制器,内部集成了三级省电模式,由于其便捷的接口方式,所以成了多数系统设计者的首选。RTL8019AS支持即插即用标准,可以自动检测设备的接入,完全兼容EthernetII以及IEEE802.310BASE5、10BASE2、10BASET等标准,同时针对10BASET还支持

28、自动极性修正的功能,另外该芯片还有很多其他功能。高速AD/高速DA本实验系统中采用的高速AD为TLC5510,TLC5510是一个8位高速AD,其最高转换速率可到20MSPS,单5V供电,被广泛应用于数字电视、医疗图像、视频会议等高速数据转换领域。高速DA采用TLC5602,该芯片也是一个8位高速AD,其最高转换速率可到33M,足以满足一般数据处理的场合。240128图形点阵LCD240128图形点阵LCD可以用来显示图形、曲线、文本、字符等等,显示模块内嵌有T6963C控制器,在该LCD显示模块上已经实现了行列驱动器及显示缓冲区RAM的接口,同时也硬件设置了液晶的结构:单屏显示、80系列的8

29、位微处理器接口、显示屏长度为30个字符、宽度为16个字符等。第二部分 实验部分实验一 EDA工具基本操作与应用说明:本书将以实验一为例详细介绍altera公司max+plusII及QUARTUSII软件的基本应用,其它实验将不再赘述。读者在通过本实验后将对max+plusII软件及CPLD/FPGA的设计与应用有一个比较完整的概念和思路。此书因篇幅有限,仅仅介绍了max+plusII及QUARTUSII软件的最基本、最常用的一些基本功能,相信读者在熟练使用本软件以后,你定会发现该软件还有好多非常方便、快捷、灵活的设计技巧与开发功能。一、实验目的1、通过一个简单的D触发器的设计,让学生掌握MAX

30、PLUS及QUARTUSII设计工具进行电子设计的基本流程。2、初步了解可编程器件设计的全过程。二、主要仪器设备EDA实验系统一台,EDA/SOPC实验系统一台三、 实验步骤 (一)MaxplusII软件的基本操作与应用1、设计输入(1)软件的启动:进入Altera软件包,打开MAX+plus II 10.0软件,如图1-1所示。图:1-1(2)启动File New菜单,弹出设计输入选择窗口,如下图1-2所示:图:1-2(3)选择Text Editor file,单击ok按钮,打开文本编辑器,进入文本设计输入电路编辑状态,并输入D触发器VHDL程序代码,如下图1-3所示:图:1-32、电路的编译与适配(1)选择芯片型号选择当前项目文件欲设计实现的实际芯片进行编译适配,点击AssignDevice菜单选择芯片,如下图1-4对话筐所示。如果此时不选择适配芯片的话,该软件将自动把所有适合本电路的芯片一一进行编译适配,这将耗费你许多时间。该例程中我们选用CPLD芯片来实现,如用7000S系列的EPM7128SLC84-15芯片;同样也可以用F

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1