ImageVerifierCode 换一换
格式:DOCX , 页数:29 ,大小:5.61MB ,
资源ID:4317514      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4317514.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(ISE创建MicroBlaze.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

ISE创建MicroBlaze.docx

1、ISE创建MicroBlazeISE创建MicroBlazeISE创建Microblaze软核(一) 分类: FPGA 2012-05-18 17:06 1299人阅读 评论(2) 收藏 举报 嵌入式语言system平台c 在使用FPGA时,有时会用到它做为主控芯片。对于习惯于单片机及C语言开发的人,使用FPGA做主控芯片,首先还是想到它的嵌入式软核功能。如果能够基于Microblze软核进行C语言程序的开发,相对于使用生疏的Verilog语言进行项目的开发,将会起到事半功倍的效果。 下面就如何使用ISE创建Microblaze软核,写一个简单的入门教程。教程以图片为主,辅以简单的文字进行说明

2、。我使用的是Xilinx Spartan 6系列的FPGA,开发环境为ISE13.3。第一步 先创建一个ISE工程工程名字为MicroblazeTutor。在这里根据自己的硬件平台进行选择对应的FPGA型号。根据自己开发习惯,选择是使用Verilog语言还是VHDL语言。点击完成,完成工程的创建。工程创建完成图。第二步 在工程中添加一个嵌入式软核如图,右键点击,选择New Source。选择Embedded Processor(嵌入式软核),软核名字为mb_system。点击完成。第三步 进入软核创建向导点击确认,进入软核创建向导。选择PLB system。PLB系统是旧版本的软核系统,相对操

3、作更简单一些;AXI是新版的软核系统,有兴趣的话可以自己去研究。按向导点击下一步。这里会自动使用之前创建工程时设置的FPGA型号。选择单核,下一步。根据自己硬件平台的主时钟,选择对应的晶振频率。注意,系统时钟要选择晶振倍频后能够达到的频率。内存选择16KB。这是系统默认最精简的内核。通过Add Device选项添加如下图所示的外设:按键、LED、IIC、串口、定时器。也可以不要选这么多外设,添加最简单的串口即可。LED和按键只要选择1位数据位宽。下一步。系统内核的地址分配。点击OK,完成创建。ISE创建Microblaze软核(二) 分类: FPGA 2012-05-18 17:19 1354

4、人阅读 评论(1) 收藏 举报 system平台第四步 进入Platform Studio操作界面通过向导创建软核后,进入到PlatformStudio内核开发环境。Platform Studio主界面如下图。在Ports项中,右键点击RS232,选择ConfigureIP,对串口进行配置。这里主要是设置波特率。选择115200。可以根据自己要求,选择不同的波特率。导出刚才创建的软核平台。选择Export Only,仅仅只导出平台。Console中显示Done!说明软核平台导出完成。第五步 返回到ISE界面进行操作关闭Platform Studio,进入ISE界面,如下图。右键点击mb_sys

5、tem,选择Add Copyof Source,添加约束文件。在mb_system的data目录里,选择mb_system.ucf文件。将软核向导自动生成的引脚约束文件添加到ISE工程。双击打开刚添加的约束文件mb_system.ucf。根据自己的硬件平台,修改系统时钟、串口、IIC、按键、LED、RESET对应的引脚位置。选择mb_system,双击GenerateTop HDL Source,创建顶层模块文件。创建完成后,如下图。第六步 编译软核平台选择mb_system_i,双击Export Hardware Design To SDK With bitstream,编译刚才创建的软核平

6、台。此过程大约需要十几分钟。ISE创建Microblaze软核(三) 分类: FPGA 2012-05-18 17:25 1165人阅读 评论(1) 收藏 举报 第七步 进入SDK开发环境编译完成后弹出如下对话框,选择SDK的工作目录。在MicroblazeTutor中创建一个Workspace文件夹,并选择该文件夹为SDK的工作目录。进入SDK主界面。第八步 使用SDK向导创建Hello World工程依次点选File New Xilinx C Project,选择创建新的C语言工程。选择Hello World模板,将工程名字改为Hello_World。首次创建工程,同时需要创建一个基于之前

7、生成软核的库文件。修改名字为Spartan6_bsp。创建工程完成后的SDK界面图。第九步 生成可执行文件右键点击Hello_World工程,依次选择Run As Run Configurations。选择Xilinx C/C+ ELF。点击左上角的添加按钮,会自动生成Hello_Wold Debug项目。第十步 下载C程序到FPGA上将FPGA上电,并接上USB下载线。点击下图中标记的图标。将Bitstream和BMM File改为下图中所示路径位置的文件。选择Program,下载软核到FPGA上。将FPGA的串口连接上电脑,在电脑上打开串口调试助手,选择对应的波特率。点击下图图示中的图标,点选Hello_World Debug,将程序下载到FPGA上。串口调试助手上若显示Hello Wold,那么恭喜,你已经成功完成了第一个基于Microblaze的程序。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1