ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:953.05KB ,
资源ID:4310502      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4310502.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(Zynq高速串行CMOS接口的设计与实现.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

Zynq高速串行CMOS接口的设计与实现.docx

1、Zynq高速串行CMOS接口的设计与实现Zynq高速串行CMOS接口的设计与实现现在CMOS传感器的分辨率越来越大,对应的,对数据传输接口的要求也越来越高。根据熊猫君有限的实现和调试经验,基本上遇到了:多通道HiSPi接口:主要是Aptina(现已经被安森美收购),常用的有1080P60的AR0331(3.1M),36642748P15的MT9J003,39842712P80(开窗输出最高可达1200fps)的AR1011等;多通道LVDS接口:主要有索尼系列和德国viimagic系列等,至少熊猫君用过的IMX172/IMX122/IMX185/IMX236和VII9222等都是LVDS输出;

2、MIPI接口:多用于手机,一些监控用的CMOS如Sony IMX185、OV14810等也带;CCIR656:一般低分辨率的会带CCIR656接口,也有一些厂家的高分摄像头也带,比如OV14810;并行接口:较早设计的CMOS许多都是直接并口输出,比如Aptina的MT9M031、MT9J003都带有并口输出;熊猫君在这里想讨论的是前三种接口的实现,它们是业界应用最广泛而且对FPGA资源有着共性要求。无论是HiSPi、LVDS还是MIPI,其核心思想就是要实现将高速串行信号恢复成并行数据。这将会用到Xilinx FPGA IOB上的一个重要的资源ISERDES。实现串行信号的并行化,光有ISE

3、RDES还不行,还需要用到IO BANK上的延时模块IDELAYCTRL和IOB上的IODELAYE以及相关的相位训练算法。1 Xilinx的IO资源本节对用到的IO资源作简要的介绍。1.1 IDELAYCTRL资源在电压、温度等因素变化时,可能会影响到系统的时序,此时IDELAYCTRL模块就可以连续补偿时钟域内所有个体的delay taps (IDELAY/ODELAY)。如果使用了IOB上的IDELAY或ODELAY资源,那么就必须使用IDELAYCTRL资源。请注意,整个IO BANK里面只有一个IDELAYCTRL。IDELAYCTRL很重要的一个输入项就是参考时钟REFCLK,补偿

4、时钟域内所有模块的时序参考,这个时钟必须由BUFG或BUFH驱动。REFCLK必须保证在FIDELAYCTRL_REF+IDELAYCTRL_REF_PRECISION(MHz)ppm才能保证IDELAY/ODELAY的延时分辨率:(TIDELAYRESOLUTION=1/(32 x 2 x FREF)1.2 IDELAYE2逻辑IDELAYE2逻辑是一个31抽头的循环延时补偿模块,对输入的信号进行指定分辨率的延时,FPGA可以直接访问。Tap延时分辨率由IDELAYCTRL的参考时钟提供持续补偿。图(1)是IDELAYE2接口示意图,表(1)是对这些接口的描述,表(2)是对逻辑参数的描述。图

5、(1)IDELAYE2接口示意图。表(1)IDELAYE2接口描述以下以VAR_LOAD模式为例说明延时的时序动作,如图(2)所示。图(2)延时时序动作模型 Clock Event 0:在LD有效前,CNTVALUEOUT输出为未知值; Clock Event 1:在C的上升沿采样到LD有效,此时DATAOUT延时CNTVALUEIN指定的延时Taps,改变tap Setting到Tap2,CNTVALUEOUT更新到新的Tap值; Clock Event 2:INC和CE有效,此时指定了增量操作,Tap值加1,DATAOUT输出从Tap2更新到Tap3,CNTVALUEOUT更新到新的Tap

6、值; Clock Event 3LD有效,DATAOUT输出延时更新到Tap10,CNTVALUEOUT更新到新的Tap值。1.3 ISERDESE2逻辑输入串转并逻辑可以看做是OSERDESE2的逆过程,在SDR模式下可支持2-、3-、4-、5-、6-和7-的串并转换,在DDR模式下可支持2-、4-、6-、8-的串并转换,级联DDR模式下还可扩展到10-和14-。每一个ISERDESE2包括: 专门的串并转换器; Bitslip子模块用于源同步接口; 专用的可支持strobe-based的存储接口。图(3)是ISERDESE2的结构示意图。表(3)是ISERDESE2接口描述,表(4)示IS

7、ERDESE2的参数描述。图(3)ISERDESE2结构示意图表(3) ISERDESE2表(4)ISERDESE2的参数描述(1) 时钟方案CLK和CLK_DIV必须是严格对齐的时钟,虽然允许使用BUFIO/BUFR,但任然有可能存在相位问题。图(5)时采用BUFIO/BUFR的方案。图(5)采用BUFIO/BUFR的时钟方案一般的,根据接口类型的差异,时钟必须满足以下的约束:a)networking interface CLKBUFIO;CLKDIVBUFR; CLKMMCM/PLL;CLKDIV和CLK相同的MMCM/PLL的CLKOUT0:6的输出,使用MMCM时CLK和CLKDIV必

8、须使用相同的驱动BUF; CLKBUFG;CLKDIVBUFG。b)MEMORY Interface Type CLKBUFIO, OCLKBUFIO,或CLKDIVBUFR; CLKMMCM或PLL, OCLKMMCM,或CLKDIV由同一个MMCM/PLL的CLKOUT0:6驱动; CLKBUFG,CLKDIV不同的BUFG。OCLK和CLKDIV的输入相位必须是严格对齐的,CLK和OCLK之间不要求相位关系。From CLK to OCLK的时钟域必须进行补偿。其他接口类型的时钟方案参考文档UG471。(2) BitSlip子模块BitSlip用于调整并行寄存器输出串行数据的位置。在SD

9、R模式下,每一个BitSlip脉冲让输出pattern的数据左移1bit;在DDR模式下,第一个BitSlip右移1bit,第二个BitSlip左移3bit,依次进行,移动规律如图(6)所示。BitSlip一定是和CLKDIV同步的一个脉冲。图(6)BitSlip训练移位规律在上面所介绍的资源中,IDELAYE2是动态相位对其训练的神器,ISERDESE2实现串并转换,其Bitslip功能是实现并行化数据对齐的关键。2 LVDS高速接口实现实例因为MIPI接口有其完整的物理层协议,因此不在这里讲具体实现,本文以Sony的IMX122 CMOS为例,聊一聊高速LVDS(HiSPi类似,只是电平标

10、准有一点区别)接口在Xilinx 7系列FPGA和Zynq SoC上的实现。2.1 需求分析以Sony IMX122 CMOS为例,配置在1080P分辨率可输出30fps。CMOS在初始化完成后输出两通道稳定的LVDS数据,LVDS接收模块在收到配置完成信号后开始工作。因此,这个LVDS接收模块需要实现: CMOS工作在Slave模式下,向CMOS发出参考时钟(INCK)、行同步(XHS)、帧同步(XVS)信号; 接收LVDS数据并将它恢复成为指定的图像数据格式; 提供测试信息接口。2.2 IMX122 CMOS输出特性本小节简介IMX122 CMOS的一些特性。2.2.1 同步时序要求在Sl

11、ave模式下需要向CMOS提供周期稳定的XHS和XVS信号,两者的时序要求如图7所示。在产生同步时序时需要注意以下要点: XVS和XHS必须是稳定的周期性信号; XVS和XHS信号的低电平保持时间为4100个INCK; XHS可以和XVS同时拉低,也可延时一个时钟周期拉低。图7 Slave模式下同步时序2.2.2 输出数据率在串行SDR LVDS模式下以12bit模式输出,数据率为891Mbps,每个通道的数据率为445.5Mbps。2.2.3 Sync Code格式在串行输出模式下,CMOS通过输出固定的Sync Code来指示图像的有效帧、行信息,接收器需通过查找这些Sync Code来恢

12、复图像。IMX122可提供两种模式的Sync Code,这里配置为Sync Code1,其具体定义如图8所示。图8 IMX122 Sync Code定义2.2.4 输出图像组织形式IMX122在1080P读出模式下,输入参考时钟INCK是37.125MHz。一帧图像输出固定为1125行,每行1100 INCK(29.63s),有效输出为19841105。图像输出的时序如图9所示,图10是输出数据编码规则。图9 IMX122 LVDS模式输出时序图10 IMX122 12-bit 2通道输出编码格式2.3 设计思路和模块结构Slave模式下工作的CMOS在XVS和XHS的同步下按照固定时序稳定输

13、出LVDS图像数据。LVDS串行数据按照一定的方式进行编码,接收模块应先将串行数据解码恢复成为并行数据,再根据数据的排列方式格式化输出。因此,整个模块可以划分为时序同步、LVDS接收解码(串转并)和数据格式化输出三个部分。整个LVDS接收模块的结构如图11所示。图11 LVDS接收模块顶层图 CMOS输入参考时钟(INCK)为37.125MHz; CMOS输出LVDS数据为445.5MHz SDR型; 时序发生器由74.25MHz发生30fps的XVS、XHS信号; LVDS数据接收解码模块将数据恢复为8bit55.6875MHz并行格式(DATA7:0); 格式化输出模块通过搜索同步头的状态

14、确定是否发出bit_slip,并根据CMOS的数据格式和同步信号格式化输出12bit74.25MHz像素数据(PIX_DATA11:0); IDELAYCTRL以Ref_clk为基准对整个IO BANK进行输入延时控制。2.3.1 时序同步同步时序由内部74.25MHz计数产生。按照图7所示时序即可。2.3.2 LVDS接收解码LVDS接收的主要工作是将串行的数据转为并行数据并进行自动相位调节,移位寄存器抽头调整(Bitslip)。Xilinx Artix7系列FPGA提供串转并模块ISERDES和IO延时模块IODELAYE2,ISERDES性能可在415Mb/s1200Mb/s之间,IOD

15、ELAYE2的延时参考时钟可以是200MHz(1tap78ps)或300MHz(1tap52ps)。(1)Artix7 FPGA时钟特性在Artix7系列器件里,MMCM可驱动BUFIO、BUFR、BUFH和BUFG,PLL只能驱动BUFH和BUFG。ZYNQ-7020采用Artix7 Speed-1器件,时钟网络的最高性能如下表5所示。表5 Artix7 Speed-1 器件时钟性能FPGABUFGBUFHBUFRBUFIOArtix7 Speed-1464MHz464MHz315MHz600MHz(2)LVDS接收时钟选择LVDS解码串行参考时钟选择从表1可以知道,采用BUFG最高时钟性能

16、为464MHz。IMX122 1080P串行模式下两通道LVDS每通道的输出数据率为445.5Mbps(SDR),接近BUFG的极限值,因此这里作一个变通处理,使用222.75MHz时钟按照DDR方式对串行数据进行采样。IODELAYE2 延时参考时钟选择延时参考时钟选择的原则是在LVDS数据时钟周期内,可调节的Tap数尽量的多。IMX122输出的数据周期为2.245ns,调节一个周期采用200MHz参考时钟需要28taps,采用300MHz参考时钟需要43个Taps,而IODELAYE2的调节Tap数为031,故只能选择200MHz的参考时钟。(3)LVDS数据接收模块时钟因CMOS IMX

17、122不输出LVDS bit时钟,FPGA使用内部时钟来接收解码LVDS数据。如图12所示,与LVDS相关的时钟由同一个MMCM产生以保证其相位的一致性。其中: 37.125MHz,为CMOS工作参考时钟; 55.6875MHz,提供给IODELAYE2.C、ISERDES2.CLKDIV、补偿状态机及解码后的字节数据参考时钟; 74.25MHz,产生30fps的CMOS同步参考时序和12bit像素参考时钟; 222.75MHz,以DDR模式接收的DDR位参考时钟;图12 LVDS数据接收模块结构IMX122输出两路LVDS数据,每个通道的接收逻辑相同,对每一通道而言,数据流路径如下:a)LV

18、DS差分对通过IBUFDS_DIFF_OUT,得到位数据(记为Master)及与其反相的数据(记为Slave);b)Master和Slave分别进入各自的IODELAYE2和ISERDES2得到各自的并行数据送到补偿算法状态机进行动态相位调整并反馈各自的延时值到IODELAYE2。根据IMX122的LVDS编码特点,ISERDES2按照1:8作串并转换最为合适;c)数据格式化输出模块根据查找Sync Code的情况调整bitslip;d)补偿模块根据数据调整的情况输出解码后的8-bit并行数据。2.3.3 动态相位补偿动态相位补偿原理如下: 初始化时,Master数据延时假定设为数据眼图的中间

19、位置,Slave和Master的延时相隔半个数据周期。 在Master和Slave的数据不全为零或不全为壹时启动动态相位补偿算法。相位补偿的基本原理是:如果Master和Slave采样到的数据相同,则说明采样太靠后,延时减少一个Tap(如图13a);如果Master和Slave采样到的数据不同,则说明采样点太靠前,延时增加一个Tap(如图13b)。图13采样点延时示意图如果延时Tap值为最小或最大位置时,则交换Master和Slave的的参考关系,同时输出数据作相应调整;2.3.4 数据格式化输出该模块的工作是将收到的串转并数据的基础上搜索Sync Code、并组织成12-bit的像素数据按照

20、给定时序格式化输出。(1)Sync Code搜索Sync Code搜索采用的策略是,逻辑在不停的查找在一帧数据里是否存在图3-2所示的的特定数据,如果这些同步码都能找到,则表示接收逻辑串转并的输出位顺序正常,不再需要bitslip;若没有找到全部的同步码,则在下一帧开始的时候(XVS上升沿)给出一个bitslip脉冲调整输出,直到找到完整的Sync Code。两路LVDS通道分别单独进行Sync Code搜索,若32次bitslip后任然没有找到Sync Code,则报告CMOS输出错误。(2)格式化输出格式化输出模块完成将CMOS输出的数据解码成12-bit Pixel数据并按照视频格式时序

21、输出,结构如图14所示。图14 格式化输出逻辑结构对格式化输出的详细实现过程这里不赘述。2.3.5 接收模块顶层文件描述IMX122-LVDS接收模块由4个文件构成,分别是imx122_lvds_rev_v1_0、lvds_data_rx、rev_data_format_v1_0和imx122_slvsyn_timing_v1_0构成,它们的层析结构如图15所示。图15 LVDS接收模块文件结构注:蓝色的imx122_slvsyn_timing_v1_0不属于LVDS接收内容,但CMOS在SLAVE模式下必须由它提供同步信号后才能正常输出。Welcome ToDownload !欢迎您的下载,资料仅供参考!

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1