ImageVerifierCode 换一换
格式:DOCX , 页数:18 ,大小:219.08KB ,
资源ID:4211196      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4211196.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(完整word版EDA实验报告完整版.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

完整word版EDA实验报告完整版.docx

1、完整word版EDA实验报告完整版数字系统设计基础实验报告 实验名称: 1.组合电路设计_ 2.失序电路设计_ 3.计数器的设计_ 4.原理图设计加法器 学 号:_ 08093342_ _ 姓 名:_ 姚 伟_ 班 级: _ 计科09-1班_ 老 师:_ _中国矿业大学计算机学院2011年10月27日实验一:组合电路的设计一 实验目的熟悉Quartus的VHDL文本设计流程全过程,学习简单组合电路的设计、仿真和硬件测试。二 实验任务任务1:利用Quartus完成2选1多路选择器的文本编辑输入和仿真测试等步骤,得出仿真波形。最后在试验系统上进行硬件测试,验证本项设计的功能。任务2:将此多路选择器

2、看成是一个元件mux21a,利用元件例化语句描述电路图,并将此文件放在同一目录中。对于任务中的例子分别进行编译、综合、仿真,并对其仿真波形作出分析说明。三 实验过程1. 新建一个文件夹,取名CNT10。2. 输入源程序。3. 文件存盘,文件名为cnt10,扩展名为.vhd。4. 创建工程,按照老师要求对软件进行设置。5. 进行失序仿真,得到仿真图形。四 实验程序任务1:entity CNT10 IS port (a,b,s:in bit; y:out bit);end entity CNT10;architecture one of CNT10 is beginprocess (a,b,s)

3、if s=0 then y=a; else y=b; end if;end process;end architecture one;任务2: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MUXK IS PORT (s0,s1: in STD_LOGIC; a1,a2,a3: in STD_LOGIC; outy: out STD_LOGIC );END ENTITY MUXK;ARCHITECTURE double OF MUXK IS SIGNAL tmpout,tmp:STD_LOGIC;BEGINu1: PROCESS(s0,a2,a

4、3,tmp) BEGIN IF s0=0 then tmp=a2; else tmp=a3; END IF ;END PROCESS u1 ;u2: PROCESS(s1,a1,tmp,tmpout) BEGIN IF s1=0 then tmpout=a1; else tmpout=tmp; END IF ; END PROCESS u2 ;outy=tmpout;END ARCHITECTURE double;五 实验结果 任务1: 任务2:六 实验体会在课堂上对于“EDA与VHDL”这门课的用处及用法一直一知半解,课上对于一些编程也是学的很模糊,因为学习过模拟电路与数字电路,所以总认为器

5、件仿真要用电脑模拟器件或者直接用实物,但是通过本次实验对Quartus的初步接触,了解了其功能的强大。通过实验我初步掌握了软件的使用技巧,对下节课的实验打下了很好的基本功。实验二:时序电路设计一 实验目的熟悉Quartus的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。二 实验任务任务1:设计触发器,给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程。任务2:设计锁存器,同样给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程。三 实验过程a) 新建一个文件夹,取名second。b) 输入源程序。c) 文件存盘,文件名为second,扩展名为.vhd。d) 创建工程,按照

6、老师要求对软件进行设置。e) 进行失序仿真,得到仿真图形。四 实验程序任务1: library ieee;use ieee.std_logic_1164.all;entity second isport(clk,d:in std_logic; q:out std_logic);end;architecture bhv of second issignal q1:std_logic;begin process(clk,q1) begin if clkevent and clk =1 then q1=d; end if; end process; q=q1; end bhv; 任务2: libra

7、ry ieee;use ieee.std_logic_1164.all;entity suocun is port (clk,d:in std_logic; q:out std_logic);end;architecture bhv of suocun isbegin process (clk,d) begin if clk=1 then q0); elsif clkevent and clk=1 then if en=1 then if cqi0); end if; end if; end if; if cqi=9 then cout=1; else cout=0; end if; cqNe

8、w命令,并选择Block Diagram/Schematic File选项,打开原理图编辑窗口。b) 右击鼠标,选择Insert-Symbol命令,在窗口中点击“”按钮找到基本单元库路径,然后选择原件,编辑原理图。c) 保存所创建的原理图,文件取名为banjia.bdf。d) 选择File-Create/Update-Create Symbol File for Current File命令,将上述得到的文件变成一个元件符号存盘,留着设计全加器调用。e) 在打开一个原理图编辑窗口,调用半加器元件后对全加器进行设计,然后存盘,取名为quanjia.bdf。f) 然后按照以前的步骤创建名为quan

9、jia的工程,并得到时序仿真波形。g) 全加器设计:设计8位全加器即把一位全加器转变为一个元件符号存盘,然后按照一位全加器设计方法,利用8个一位全加器连接,构成一个8位全加器。四 实验程序及原理图任务1: 半加器程序:LIBRARY ieee;USE ieee.std_logic_1164.all; LIBRARY work;ENTITY banjia IS PORT ( a : IN STD_LOGIC; b : IN STD_LOGIC; co : OUT STD_LOGIC; so : OUT STD_LOGIC );END banjia;ARCHITECTURE bdf_type OF

10、 banjia IS SIGNAL SYNTHESIZED_WIRE_0 : STD_LOGIC;BEGIN或门程序:library ieee;use ieee.std_logic_1164.all;entity or2a is port(a,b:in std_logic; c:out std_logic);end entity or2a;architecture one of or2a is begin c ain, b = bin, so = SYNTHESIZED_WIRE_2, co = SYNTHESIZED_WIRE_0);b2v_inst1 : banjiaPORT MAP(a

11、= SYNTHESIZED_WIRE_0, b = cin, so = SYNTHESIZED_WIRE_1, co = sum);cout = SYNTHESIZED_WIRE_1 OR SYNTHESIZED_WIRE_2;END bdf_type;半加器原理图:元件符号存盘:全加器原理图: 元件符号存盘: 8位全加器原理图:五 实验结果任务1:任务2:六 实验体会本次实验内容比较多,也比较复杂,一开始并不是很清楚该怎么做,也犯过很多错误,最后经过多次问老师,在老师的指导下,明白了一些脉络。由于对8位全加器的设计很陌生,所以在网上查了许多资料,通过一点一点学习,掌握了一些基本原理。虽然这次实验用了很长时间,并且效果也并不怎么好,但是总体来说,收获还是很多的。总体收获通过以上四次实验,不但增强了我的动手能力,对EDA的编程熟练了许多,更主要的是建立了我对这门课程的兴趣,非常有助于我在今后对于专业课的强化学习。感谢这次实验,真的让我收获很多,给了我自信。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1