ImageVerifierCode 换一换
格式:DOCX , 页数:19 ,大小:77.73KB ,
资源ID:4164478      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4164478.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(PLC六路抢答器课程方案设计书.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

PLC六路抢答器课程方案设计书.docx

1、PLC六路抢答器课程方案设计书封 面作者:Pan Hongliang仅供个人学习摘 要随着我国经济和文化实业的发展,在很多公开竞争场合要求有公正的竞争裁决,诸如证券、股票交易及各种智力竞赛等,因此出现了抢答器。最初的抢答器是由优先权编码器构成的逻辑电路,其运算速度慢,线路复杂,可靠性不高,功能也比较简单,特别是当抢答路数很多时,实现起来就更加困难。因此,一种能够体现竞赛的公开、公平、公正性的知识竞赛抢答器成为一种需求。本设计将以PLC为核心设计了系统结构图、程序指令、梯形图以及输入输出端子的分配方案,在保留了原始抢答器的基本功能的同时又增加一系列的实用功能并简化其电路结构,其将以其控制方便,灵

2、活,只要改变输入PLC的控制程序,便可改变竞赛抢答器的抢答方案,从而使得竞赛不断完善其公平、公正性。关键词:PLC;竞赛抢答器;七段译码器目 录摘 要 I1绪论 11.1课题研究背景 11.2 课程设计研究内容 22 整体方案选择 32.1整体功能介绍 32.2竞赛抢答器控制要求 33 硬件电路设计 43.1控制特点分析 43.2 外部接线图 54 软件设计 64.1 I/O地址分配 64.2 结构流程图 74.3 梯形图设计与分析 84.4系统源程序 115 程序调试及分析 125.1程序运行过程分析说明 125.2调试结果 12总 结 13致 谢 14参考文献 151绪论可编程控制器是以计

3、算机为核心的通用自动控制装置,它的功能强、可靠性极强、编程简单、使用方便、体积小。现已广泛应用于工业控制的各个领域,它以微处理器为核心,用编写的程序进行逻辑控制、定时、记数和算术运算等,并通过数字量和模拟量的输入/输出来控制机械设备或生产过程。 PLC的学习比一般编程学习困难在于,要完成一个控制系统不仅需要掌握一定的编程技术,更为重要的是要知道如何针对实际应用的需要选择合适的PLC型号,然后进行资源配置,设计控制系统。 该设计为全自动洗衣机的PLC控制,主要介绍了全自动洗衣机的工作原理,控制系统的PLC的选型和资源的配置,控制系统程序设计与调试,控制系统PLC程序。 最后,在该设计过程中给予极

4、大鼓励和帮助的老师、同学,在此表示衷心的感谢。由于在设计过程中存在许多不足,希望老师同学指正。1.1课题研究背景目前国内外市场上已有很多类型的知识竞赛抢答器,其大致采用模拟电路、数字电路、单片机或者PLC芯片、计算机控制系统等四类产品。对于采用模拟电路或者数字电路的产品,其技术相当成熟。但是随着功能的增多,电路也越复杂,并且成本偏高,故障率高,显示方式简单或者没有,无法准确判断抢按按钮的行为,也不便于参数调节及其功能的升级换代。对于计算机控制系统来说,其程序简单,反应灵敏,便于参数调节及其功能的升级换代,但鉴于其必须配合计算机实用,可操作性差,没有得到广泛的应用。而对于科技飞速发展的今天,PL

5、C、单片机应用的不断深入,带动了传统控制检测技术的不断更新,并鉴于其本身具有的优点,以PLC、单片机为核心的部件成为主流。传统的普通抢答器主要存在一下缺点: 在一次抢答过程中,当出现超前违规抢答时,只能处理违规抢答信号,而对没有违规的有效信号不能进行处理,因而使该次抢答过程变为无效。 当有多个违规抢答时,优先编码电路只能选择其中一个,或利用抢答电路电子元件的“竞争”选择其中一个。对于后者由于抢答电路制作完毕后电子元件被固定,各路抢答信号的“竞争”能力也被固定,因而本质上也有优先权。普通抢答器存在不公平性。 当有多个违规抢答时,普通抢答器只能“抓住”其中一个违规者。因而出现了“漏洞”。现在大多抢

6、答器都是以PLC、单片机为控制核心的智能抢答器,它对采样获得的各种抢答信号进行分析。但仅有抢答功能的抢答器已经不能满足当今社会的需要。该设计不但有抢答功能,而且还有一个计分系统,能分别对四个选手进行计分,并能够对分数进行加、减。带计分功能的智能抢答器将会取代一般的智能抢答器,更好的服务于社会。1.2 课程设计研究内容系统设计主要包括硬件和软件两大部分,依据控制系统的工作原理和技术性能,将硬件和软件分开设计。硬件设计部分包括电路原理图、合理选择元器件、绘制线路图,然后对硬件进行调试、测试,以达到设计要求。软件设计部分,首先在总体设计中完成系统总框图和各模块的功能设计,拟定详细的工作计划;然后进行

7、具体设计,包括各模块的流程图,选择合适的编程语言和工具,进行代码设计等;最后是对软件进行调试、测试,达到所需功能要求。在系统设计中设计方法的选用是系统设计能否成功的关键。硬件电路是采用结构化系统设计方法,该方法保证设计电路的标准化、模块化。硬件电路的设计最重要的选择可编程的PLC,并确定与之配套的外围芯片,使所设计的系统既经济又高性能。硬件电路设计还包括输入输出接口设计,画出详细电路图,标出芯片的型号、器件参数值,根据电路图在仿真机上进行调试,发现设计不当及时修改,最终达到设计目的。软件设计的方法与开发环境的选取有着直接的关系,本系统由于是采用三菱FX可控制编程。此编程工具更有可靠、可拓展、可

8、维护性。2 整体方案选择2.1整体功能介绍知识竞赛抢答器,顾名思义就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,它的应用场合也随之增加;技术含量大大提升;更加方便可靠。目前,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校及企事业单位,它为各种竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。用PLC进行知识竞赛抢答器设计,其控制方便,灵活,只要改变输入PLC的控制程序,便可改变竞赛抢答器的抢答方案。2.2竞赛抢答器控制要求 知识竞赛抢答器能使6个队同时参加抢答。 设裁判队为裁判台,参赛对为参赛台。裁判台设有音响和裁判灯,并且设有裁

9、判台开始按钮SB0和裁判台复位按钮SB7;参赛台设有参赛台抢答按钮以及参赛指示灯。1-6号参赛台分别对应按钮SB1-SB6及参赛指示灯L1-L6。 知识竞赛抢答器能适合以下比赛规则:出题后,各队抢答必须在裁判说出“开始”并按下裁判台的开始按钮SB0后15S内抢答,并由数码管显示时间。如提前抢答,抢答器发出“违规”信号。15S时间到,如无队抢答,则抢答器给出时间已到信号,该题作废。在有队抢答的情况下,则抢答器发出“抢答”信号,数码管开始计时,并由数码管显示出抢到题的参考队号,抢到题的队必须在60S内答完题,如60S内未答完,则作超时处理。 灯光与音响信号的意义如下:(见图2-1结构流程图) 音响

10、叫(响1S)+某指示灯亮,由某参赛队正常抢答。 音响叫(响1S)+某指示灯亮+总指示灯亮,某参赛队违规。 音响叫(响1S)+裁判指示灯亮,无人抢答或答题超时。 在某个题结束后,裁判员按下台上的复位按钮SB7,抢答器恢复原来的状态,为下一轮抢答作好准备。 各输出端口统一采用直流24V电源。3 硬件电路设计3.1控制特点分析智能竞赛抢答器通过PLC进行按控制要求编程,其主要的输入就是通过裁判员和参赛选手的按钮,然后将信号传递给信息分析中心(PLC),PLC将根据信号作出相应的响应。竞赛抢答器有八个输入信号(即八个按钮),九个输出信号(即六个灯管信号、一个音响信号、二个指示灯数码管输出信号)。由上可

11、知PLC共有:八个输入点,九个输出点。系统控制结构框图如下图3-1所示3.2 外部接线图 图3-2 PLC六路智能抢答器外部接线图分析:SA代表“抢答开始”按钮对应输入寄存器X0,SB1-SB6代表6组参赛选手的常开按钮,分别对应X1-X6,输出寄存器Y0-Y6分别对应显示器a-g,Y11为启动指示灯,Y10为蜂鸣器,Y7为倒计时指示灯,各输出窗口统一采用直流24V电源。4 软件设计4.1 I/O地址分配 输入分配 开始抢答按钮 SA X0 SB1 X1 SB2 X2 SB3 X3 SB4 X4 SB5 X5 SB6 X6 复位按钮 X7输出分配 数码管显示a Y0 数码管显示b Y1 数码管

12、显示c Y2 数码管显示d Y3 数码管显示e Y4 数码管显示f Y5 数码管显示g Y6 倒计时显示灯 Y7 蜂鸣器 Y10 启动指示灯 Y11七段译码管显示器如图所示图4-1 七段译码管显示器其中显示器b、c亮显示数字1;a、b、d、e、g亮显示数字2;a、b、c、d、g亮显示数字3;b、c、f、g亮显示数字4;a、c、d、f、g亮显示数字5;a、c、d、e、f、g亮显示数字6。设置辅助继电器宣布开始 M8002定时器 T04.2 结构流程图当裁判按下开始按钮时,四个参赛对处于抢答状态,假如是一号参赛队先抢到题目,PLC先判断抢答是否成功,若成功,则进行下一部看是否在规定的抢答时间内答题

13、,若在规定时间内,则答题结束;若超时,则提示主持人;如果抢答没有成功,则判断是否抢答犯规,若法规,某法规台灯亮,总台灯亮出指令。4.3 梯形图设计与分析图4-3 梯形图主持人宣布开始,即启动M8002,并且按下“抢答开始”按钮X0后,点亮启动指示灯Y11;在启动指示灯被点亮的前提下,按下X1,显示管b、c亮,显示数字1,即Y1、Y2有输出,并与Y0、Y3、Y4、Y5、Y6的常闭触点串联构成互锁,保证b、c亮时,a、d、e、f、g不亮,串联复位开关X7常闭触点用于停止,X1与蜂鸣器、输出继电器Y10并联,用于自保持,锁住抢答器,使其他按钮无效;同样,按下X2,显示管a、b、d、e、g亮,显示数字

14、2,即Y0、Y1、Y3、Y4、Y6有输出,串联Y2、Y5的常闭触点构成互锁,保证a、b、d、e、g亮时,c、f不亮;按下X3,显示管a、b、c、d、g亮,显示数字3,即Y0、Y1、Y2、Y3、Y6有输出,串联Y4、Y5的常闭触点构成互锁,保证a、b、c、d、g亮时,e、f不亮;按下X4,显示管b、c、f、g亮,显示数字4,即Y1、Y2、Y5、Y6有输出,串联Y0、Y3、Y4的常闭触点构成互锁,保证b、c、f、g亮时,a、d、e不亮;按下X5,显示管a、c、d、f、g亮,显示数字5,即Y0、Y2、Y3、Y5、Y6有输出,串联Y1、Y4的常闭触点构成互锁,保证a、c、d、f、g亮时,b、e不亮;按

15、下X6,显示管a、c、d、e、f、g亮,显示数字6,即Y0、Y2、Y3、Y4、Y5、Y6有输出,串联Y1的常闭触点构成互锁,保证a、c、d、e、f、g亮时,b不亮;4.4系统源程序LD X000OUT Y001LD Y012AND Y011ANI Y013ANI Y014ANI Y015ANI Y016ANI Y017ANI Y007OUT Y012LD X002OR Y013AND Y011ANI Y012ANI Y014ANI Y015ANI Y016ANI Y017ANI X007OUT Y013LD X003OR Y014AND Y001ANI Y012ANI Y013ANI Y015

16、ANI Y016ANI Y017ANI X007OUT Y014LD X004OR Y015AND Y011ANI Y012ANI Y013ANI Y014ANI Y016ANI Y017ANI X007OUT Y015LD X005OR Y016AND Y011ANI Y012ANI Y013ANI Y014ANI Y015ANI Y017ANI X007OUT Y016LD X006OR Y017AND Y011ANI Y012ANI Y013ANI Y014ANI Y015ANI Y016ANI X007OUT Y017LD Y012OR Y013OR Y014OR Y015OUT Y0

17、01LD Y012OR Y014OR Y015OR Y016OR Y017OUT Y002LD Y013OR Y014OR Y016OR Y017OUT Y003OUT Y000LD Y013OR Y017OUT X004LD Y015OR Y016OR Y017OUT Y005LD Y013OR Y014OR Y015OR Y016OR Y017OUT Y006LD Y012OR Y013OR Y014OR Y015OR Y016OR Y017OR T0OUT Y010LD X007OUT T0 K600ANI T0OUT Y007END5 程序调试及分析5.1程序运行过程分析说明1、调试步

18、骤1)、按照图4-3所示的外部接线图接线,核对地址,逐点进行,确保正确无误。2)、检查模拟量输入输出。检查输入输出模块是否正确,工作是否正常。必要时,用标准仪器检查输入输出的精度。 3)、检查与测试指示灯。对控制面板上对应的指示灯进行检查,如有指示灯不亮,一方面查看指示灯是否已坏,另一方面检查逻辑关系是否正确。指示灯是反映系统工作的一面镜子,先调好它,将对进一步调试提供方便。 4)、将图5-1PLC六路智能抢答器梯形图程序写入PLC,然后从初始步开始调试。用元件监视功能监视a、b、c、d、e、f、g的状态变化。注意顺序功能图中有可能出现的路径,在调试时应从初始步开始,分别经过可能出现的路径,一

19、步一步地检查转换过程是否正确,最后是否能返回初始步。 5)、手动按钮来实施模拟现场,观察运行结果是否与要求相符合。 5.2调试结果通过上述的调试步骤后,得出调试结果,由于实验设备以及其他外部条件的限制导致,我们用一个指示灯代替蜂鸣器。参赛选手如要抢答主持人提出的问题,必须在主持人宣布“开始”即按下“抢答开始”按钮后,由参赛选手抢先按下自己桌上的抢答器按钮(即X1、X2、X3、X4、X5、X6),此时8段数码管显示器会显示最先按下按钮的组号,同时蜂鸣器指示灯亮,并锁住抢答器,使其它组再按也无效,当按下复位按钮响应后,数码管显示器熄灭,蜂鸣器指示灯熄灭,参赛选手开始回答问题,同时倒计时指示灯点亮。

20、参赛选手必须在规定的时间内(60s倒计时指示灯点亮期间)回答问题。当倒计时结束时,指示灯熄灭,同时蜂鸣器指示灯亮提示时间到,此时参数选手应立即停止答题。总 结经过这半个学期的努力,在老师和同学的帮助下做完了这次PLC的课程设计工作.由于自己知识的缺乏及能力的有限,仍没有能够实现像课题设计中那样倒计时而且带有声光提示等功能的补充与完善。但是通过这次的课程设计,我能运用已学的知识解决我在设计中遇到的问题,使自己的动手能力和思考问题的能力得到了很大的提高。在做设计的过程中我查阅了很多的资料,并认真的阅读这些与我的设计相关的资料,从而我的专业涵养得到了提高,知识的储备量也有所增加。整个设计通过了软件和

21、硬件上的调试、仿真。我想这对于自己以后的学习和工作都会有很大的帮助的。在这次设计中遇到了很多实际性的问题,在实际设计中才发现,书本上理论性的东西与在实际运用中的还是有一定的出入的,所以有些问题不但要深入地理解,而且要不断地更正以前的错误思维。但是,通过这次设计我也发现自己的很多不足之处。在设计过程中我发现自己考虑问题很不全面,自己的专业知识掌握的很不牢固,所掌握的计算机应用软件还不够多,我希望自己的这些不足之处能在今后的工作和学习中得到改善。而且,通过这次设计,我懂得了学习的重要性,学会了坚持和努力,这将为以后的学习做出了最好的榜样!同时,该设计也有不足之处,缺少了答题计分等功能。我将会在以后

22、的学习中继续学习。致 谢大三上学期不知不觉中就要结束了,在这段难忘的生活中,有我许多美好的回忆。首先感谢感谢学校给我们提供这个能自我展示的平台.感谢我的指导教师朱群峰老师,你从一开始的论文方向的选定,到最后的整篇设计论文的完成,都非常耐心的对我进行指导。给我提供了大量数据资料和建议,告诉我应该注意的细节问题,细心的给我指出错误,修改论文。谢谢我们的任课老师罗庆跃,从一开课就是是你的悉心教导、孜孜不倦我们才能顺利的完成这次课程设计。在此,我还要感谢寝室的兄弟们在我完成课程设计的过程中给予我的帮助和鼓励,谢谢!参考文献1 张凤珊 电气控制及可编程序控制器2版 M 北京: 中国轻工业出版社,2003

23、2 史国生 电气控制与可编程控制器技术 M 北京: 化学工业出版社,20033 郁汉琪 电气控制与可编程序控制器应用技术 M 南京: 东南大学出版社,20034 张万忠 可编程控制器应用技术 M 北京: 化学工业出版社,20015 三菱微型可编程控制器手册 M MITSUBISHI SOCIO-TECH,20036 吴晓君,杨向明 电气控制与可编程控制器应用 M 北京: 中国建材工业出版社,20047 李道霖 电气控制与PLC原理及应用 M 北京: 电子工业出版社,20048 王兆义 小型可编程控制器实用技术 M 北京: 机械工业出版社,2002*课题名称:三层电梯的电气控制及PLC实现课题来

24、源及要求:电梯运动包含电梯上下运动以及电梯门的开关运动,电梯只有处在需停的楼层,方能进行电梯门的开关控制,电梯的上下运动必须在电梯门关合好后方可运动。课题来源:老师设计要求: 1、列出所有按钮,并说明出其功能; 2、电梯楼层显示系统要有;3、电梯状态要有;4、有合理的电气方案及PLC实现方案。三层电梯的电气控制及PLC实现正文一、引言 可编程控制器(ProgrammableLogicController,简称PLC)作为工业控制专用的计算机,由于其结构简单、性能优良,抗干扰性能好,可靠性高,编程简单,调试方便,在机械、化工、橡胶、电力、石油天然气等行业工业控制现场已日趋广泛地得到应用,成为工控

25、现场进行实时控制的最主要的控制装置。同时利用PLC所具有的串行通信和计算机的远程通信功能,可实现计算机对多台PLC控制装置的远程集中监控。(一)PLC的产生与发展PLC的产生是工业发展的迫切需要和计算机技术的快速进步共同作用的结果。传统的电气控制装置是继电器接触器控制系统。继电器接触器控制系统采用的是固定接线方式,控制系统的功能决定与接线方式。生产过程有了变动,控制系统的功能需要相应改变,这就必须重新设计接线和重新安装、调试。随着市场竞争越来越激烈,市场对产品的需求呈现出多品种、小批量、高质量和更新换代快的特点。与此相适应,生产工艺和生产设备的功能要随之改进,控制系统接线也要不断改造。20世纪

26、60年代,随着电子技术在自动控制领域中的应用,出现了由分立元件和中小规模集成电路构成的逻辑式顺序控制器,由于使用不够灵活而没能推广应用。1968年,美国通用汽车公司(GM)为了适应汽车型号不断更新和生产工艺不断改进的需求,提出新一代控制器应具备简单、灵活和可靠等一些主要特性。1969年,美国数字设备公司(DEC公司)据此研制出世界上第一台可编程序控制器PDP-14,并在GM公司的汽车生产线上试用成功,PLC从此诞生。在20世纪70年代,出现了微处理器,微处理器被应用于PLC,使PLC发生了巨大的变化。总之,PLC是专门为工业环境应用而设计和制造的计算机,具有使用简单、灵活和可靠等优点,是将计算

27、机技术、自动控制技术、通信技术融为一体的新型工业控制装置。(二)PLC的特点灵活性好,编程简单;可靠性搞,适应工业环境;安装简单,使用方便;功能日益强大等特点。(三)PLC的主要用途开关量逻辑控制,模拟量控制,运动控制,联网通信等。(四)PLC的发展趋势向微型化发展,向集成化、网络化发展,向开放型转变等。二、PLC的硬件组成 (一)、处理器(CPU)CPU是PLC的核心,在很大程度上决定了PLC的整体性能。CPU由运算器、控制器、寄存器和各种总线构成,这些电路一般都集成在一块芯片上。CPU通过抵制总线、数据总线和控制总线与存储器、输入/输出接口电路相连接。CPU具有逻辑运算、算术运算和协调控制

28、功能。从执行程序功能角度看,CPU主要完成下面的功能:(1) 从存储器中读取指令。CPU从地址总线上给出存储地址,从控制总线上给出上给出读命令,从数据总线上得到读出的指令,并存入CPU内的指令寄存器中。(2) 执行指令。CPU对存放在指令寄存器中的指令操作码进行译码,执行指令规定的操作,如读取输入信号、取操作数、进行逻辑运算或算术运算等,将结果输出,驱动现场设备。(3) 准备取下一条指令。CPU执行完一条指令后,能根据条件产生下一条指令的地址,以便取出和执行下一条指令。在CPU的控制下,程序的指令既可以顺序执行,也可以分支或跳转。(4) 处理中断。CPU除顺序执行程序外,还能接收输入/输出接口

29、发来的中断请求,并进行中断处理,中断处理结束后,再返回原址,继续顺序执行。(二)、元件介绍1、交流接触器 (1).交流接触器主要的组成a.电磁系统,包括吸引线圈、动铁芯和静铁芯;b.触头系统,包括三副主触头和两个常开、两个常闭辅助触头,它和动铁芯是连在一起互相联动的;c.灭弧装置,一般容量较大的交流接触器都设有灭弧装置,以便迅速切断电弧,免于烧坏主触头;d.绝缘外壳及附件,各种弹簧、传动机构、短路环、接线柱等。(2).交流接触器的工作原理 当线圈通电时,静铁芯产生电磁吸力,将动铁芯吸合,由于触头系统是与动铁芯联动的,因此动铁芯带动三条动触片同时运行,触点闭合,从而接通电源。当线圈断电时,吸力消

30、失,动铁芯联动部分依靠弹簧的反作用力而分离,使主触头断开,切断电源。(3).交流接触器的分类交流接触器的种类很多,其分类方法也不尽相同。按照一般的分类方法,大致有以下几种。a.按主触点极数分 可分为单极、双极、三极、四极和五极接触器。单极接触器主要用于单相负荷,如照明负荷、焊机等,在电动机能耗制动中也可采用;双极接触器用于绕线式异步电机的转子回路中,起动时用于短接起动绕组;三极接触器用于三相负荷,例如在电动机的控制及其它场合,使用最为广泛;四极接触器主要用于三相四线制的照明线路,也可用来控制双回路电动机负载;五极交流接触器用来组成自耦补偿起动器或控制双笼型电动机,以变换绕组接法。b.按灭弧介质分 可分为空气式接触器、真空式接触器等。依靠空气绝缘的接触器用于一般负载,而采用真空绝缘的接触器常用在煤矿、石油、化工企业及电压在660V和1140V等一些特殊的场合。c.按有无触点分 可分为有触点接触器和无触点接触器。常见的接触器多为有触点接触器,而

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1