ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:585.27KB ,
资源ID:4162999      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4162999.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(多功能函数发生器.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

多功能函数发生器.docx

1、多功能函数发生器 多功能函数发生器 学院名称: 专 业: 班 级: 姓 名: 学 号: 指导教师姓名: 前言:1一、设计任务:3二、题目分析与整体构思:4三、硬件电路设计:5四、程序设计:10五、心得体会:13附录:14 参考文献:14多功能函数发生器设计报告前 言 函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。通过运用VHDL语言编程,通过运用软件Quartus II 6.0,逐渐掌握EDA的用法,熟

2、练步骤,对以后的学习与工作做了很好的铺垫;剖析整个系统运行的步骤与工作原理,从而完成对整个设计的理论分析任务,以次来指导其它设计过程;硬件电路设计主要是设计相关模块的设计思想的可视化,是相关模块的电路图的汇总和其相关仿真波形的集锦,该部分条理清晰,思路明确,从中我们可以清晰地看到该设计方案的具体模块和整个设计的原理结构实图;程序设计这一部分主要阐述该设计的设计方法与设计思想,进一步从软件设计上揭示设计构思,主要包含了整个设计所用到的模块的硬件描述语言的设计,通过这一部分的学习,对VHDL语言的设计方法有了进一步的学习,对其相关语言设计规范有了更深层次的掌握,能够更加熟练的做一些编程设计。最后通

3、过设计了解到基于PLD的EDA技术的发展和应用领域不断的扩大与深入EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对EDA技术的需求不断提高,产品的市场效率和技术要求也将会影响到教学与科研领域,因此这一次课程设计的开展很好的把握住了教学的改革方向,更好的锻炼了学生理论联系实践的能力。 此次课程设计对我在EDA技术的学习中有着很重要的影响。 一、设计任务 1.1设计目的及意义1.1.1设计目的(1)掌握方波三角波正弦波函数发生器的原理及设计方法。(2)能够使用电路仿真软件进行电路调试。 1.1.2设计意义函数发生器作为一种常用的信号源,是现代测试领域

4、内应用最为广泛的通用仪器之一。 在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都学要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而广泛用于通信、雷达、导航、宇航等领域。1.2设计内容及指标1.2.1设计内容(1)利用CPLD和FPGA设计多功能函数发生器(2)该发生器能自动产生正弦波、三角波、方波。1.2.2设计指标(1)能够不失真的输出三个波形(2)正弦波幅值大于1V二、题目

5、分析与整体构思多功能信号发生器:以及用按键的方式产生任三种波形.掌握使用EDA工具设计多功能信号系统的设计思路和设计方法。体会使用EDA综合过程中电路设计方法和设计思路的不同。首先,根据所学的知识对正弦信号发生器比较熟悉,就以正弦信号为基础展开思索.它由三个LMP模块组成,即锁相环、计数器、LMP ROM。其次,对三个模块分析并展开。锁相环一般用于高频信号,由于要求的频率范围为100HZ200HZ,所以去掉锁相环不考虑。但是这个频率由谁提供?经观察和了解实验箱的说明书可以通过时钟信号得到,信号来源这一问题解决了,为了确定频率需要计数器和分频器。分频器是为了得到所需要的频率范围,计数器是为了测频

6、率周期做准备。通过查找资料把分频器和计数器的程序输入到Quartus 中进行运行仿真,每一步都要慎重小心,错误难免的,还需要通过课本和资料一一更正。最后,在前两个模块做好的基础上再考虑如何出波形的问题,通过对四种波形采样就可以得到,经过查找资料与思考终于得到了方法,就是通过建ROM,在里面输入所采点的数据,然后经运行与仿真正确就可以运用。当然在做这些模块的过程中需要考虑到数据的选择、位宽的大小、管脚的取舍等问题。一切准备就绪,实验开始。 三、硬件电路设计在这次课程设计中主要有D/A模块和滤波电路组成的。我在试验中主要完成的是软件部分:(一)根据题目分析与整体构思可知,要完成设计任务必须设计出以

7、下模块: 1)用于存储波形的四个ROM:正弦波信号存储器data_roms、方波信号存储器 data_rom、三角波信号存储器 data_romt、2)用于波形切换的三路选择器MUX_3;3)用于频率选择的数控分频器;(二)通过Quartus软件设计出各个模块的原理图及其相关仿真波形示意图如下:1)如图所示,分频器的原理图及其仿真波形图2)如图所示,正弦波发生器的原理图及其仿真波形图及ROM定制3)如图所示,方波信号发生器的原理图及其仿真波形及ROM定制4)如图所示,三角波信号发生器原理图及其仿真波形及ROM定制5)如图所示,多路选择器的原理图6)如图所示,地址发生器的原理图8)如图所示,经过

8、对设计任务的仔细学习与思考,把以上模块根据其功能及设计思路设计出总的系统原理图如下:对于整个原理图的编译结果:四、程序设计(1) 地址发生器的程序设计:Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity decoder64a is Port(clk:in std_logic; q:out std_logic_vector(5 downto 0);End decoder64a;Architecture one of decoder64a isSignal q1:std_logic_vect

9、or(5 downto 0); Begin Process(clk) Begin if clkevent and clk=1 then if q163 then q1=q1+1; else q1=000000; end if; end if; end process;q=q1;End one;(2)分频器的程序设计如下: LIBRARY IEEE; -分频器 USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fenpin ISPORT(CLK:IN STD_LOGIC; FOUT:OUT STD_LOGIC);

10、END;ARCHITECTURE ONE OF fenpin ISsignal q:std_logic_vector(6 downto 0);Beginprocess(clk)begin if clkevent and clk=1 then if q=1100011 then q=0000000; else q=q+1; if q110010 then FOUT = 1; else FOUT = 0; end if; end if;end if; end process;end one;(3)正弦信号发生器的程序设计如下:LIBRARY ieee;USE ieee.std_logic_1164

11、.all;LIBRARY altera_mf;USE altera_mf.all;ENTITY data_roms IS PORT ( address : IN STD_LOGIC_VECTOR (5 DOWNTO 0); inclock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);END data_roms;ARCHITECTURE SYN OF data_roms ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);COMPONENT altsyncram GENERIC (

12、 address_aclr_a : STRING; init_file : STRING; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; numwords_a : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_reg_a : STRING; widthad_a : NATURAL; width_a : NATURAL; width_byteena_a : NATURAL ); PORT ( clock0 : IN

13、 STD_LOGIC ; address_a : IN STD_LOGIC_VECTOR (5 DOWNTO 0); q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); END COMPONENT;BEGIN q NONE, init_file = roms.mif, intended_device_family = Cyclone, lpm_hint = ENABLE_RUNTIME_MOD=YES, INSTANCE_NAME=ROMS, lpm_type = altsyncram, numwords_a = 64, operation_mode = ROM,

14、 outdata_aclr_a = NONE, outdata_reg_a = UNREGISTERED, widthad_a = 6, width_a = 8, width_byteena_a = 1) PORT MAP ( clock0 = inclock, address_a = address, q_a = sub_wire0);END SYN;根据正弦波信号发生器的程序设计,可以分别设计出方波信号发生器、三角波信号发生器、锯齿波信号发生器,程序设计相对简单,可以套用:library ieee;use ieee.std_logic_1164.all;entity mux is port

15、( a,b,c,d: in std_logic_vector(7 downto 0); s: in std_logic_vector(1 downto 0); x: out std_logic_vector(7 downto 0);end mux;architecture archmux of mux isbeginmux4_1: process(a,b,c,d) begin if s= 00then x=a; elsif s= 01then x=b; elsif s= 10then x=c; else x=d; end if; end process mux4_1;end archmux;五

16、、心得体会我们的设计较好地完成了既定目标,能够产生正弦波、方波、三角波波形,并能较精确的调设波形发生的频率,范围在100Hz200KHz,且输出频率可以调节,人机界面较完善友好,使系统容易使用。很成功的完成系统的功能实现。我在设计中主要是负责整个系统架构的构建,提供较完善的人机交互功能(键盘输入及 LCD 显示)。也充分认识到了团结的力量!在实验中也有失败,如当经过几天的努力把分频器、计数器、三个ROM 做好后进行了整体的运作后,波形怎么也不出现。后来才知道原来我们设计的电路只有在特定的试验箱才能实验成功,多亏老师的指导让我们少走很多弯路。也从中发现自己还有很多知识不懂,最终把波形弄出来了!在这次设计中,我更进一步地了解了开发项目该如何组织进行,对正弦波信号发生器、方波信号发生器、三角波信号发生器、锯齿波信号发生器的实现有了更好的理解。同时也提高了查阅文档解决问题的能力,对EDA技术的运用有了深一层的认识,对VHDL程序语言设计有了更深的理解, 最后向学校和老师的支持和帮助说声感谢,因为你们我们才有锻炼和实践的机会!参考文献Protel99seSE 电子工业出版社 李东生 张勇 许四毛

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1