ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:902.77KB ,
资源ID:4114728      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4114728.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(CPLD课程学习报告.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

CPLD课程学习报告.docx

1、CPLD课程学习报告CPLD课程学习报告 学生姓名:XXX 同组姓名:XXX 2011年春季学期,我们用九个星期的时间学习了CPLD及电子CAD的应用方法。在黄老师的辅导下我们接触了两款软件 MAX+PLUSII和DesignExplorer99。我们重点学习了软件MAX+PLUSII,学会使用MAX+PLUSII软件设计我们曾经学过的一些简单时序逻辑电路,如:十进制计数器的设计,二十四进制计数器的设计,六十进制计数器的设计以及我们心目中相当高端的数字钟综合设计。该软件方便了我们设计电路,它提供了从程序输入、编译、波形仿真、下载等一系列配套功能,同时,我们还可以通过该软件将我们所设计的电路的功

2、能下载到EPIK30TC144-1器件通过实际现象来检验我们电路设计的正确与否。其次,我们还用一次课的时间简单学习了软件DesignExplorer99,利用DesignExplorer99设计555振荡器的原理图和PCB设计。设 计 任 务 : 设计一个基于CPLD的数字电子钟 基本要求: 1、时、分、秒六位数码管显示(标准时间23点59分59秒); 2、具有小时、分钟校准功能; 3、完成合乎要求的设计报告。扩展要求:1、整点报时:55,56,57,58,59低音响,正点高音,间断振铃(嘟-嘟-嘟-嘟-嘟-嘀)。2、跑表:最大计时99分59秒999毫秒。独立的跑表功能,不影响数字钟正常工作。

3、3、定时闹钟:可在00:00到23:59之间由用户设定任一时间,到时报警。4、定时闹钟花样:前10s一般振铃,其后13秒较急促,再其后17秒急促,最后30秒特急促振铃。并可随时关断。5、其他(流水灯、倒计时、音乐铃声等,越新奇越好)。设计方法:(一) 打开电脑进入Windows2000操作系统,打开MAX+PLUS II软件。1 选择项目名称用MAX+PLUS II编译一个项目前,必须确定一个设计文件作为当前项目。对于每个新的项目应该建立一个单独的子目录,当指定了保存该设计项目的子目录名。其步骤为:(1)File-Project Name菜单,将出现Project Name 对话框。(2)在P

4、roject Name对话框内,输入设计项目名。例如wy11_cnt10(3)在新建文档中输入程序(注意文件名和项目名称一致)完毕后保存为vhd格式的文件。上面是用VHDL语言写的十进制计数器程序。(4)点击MAX+PLUS II-Compile菜单项,则出现编译窗口。以下是编译界面。选择Start即可。MAX+PLUS II编译器将检查项目是否有错,如果有错就可以根据提示修改后保存,然后再编译。下面是编译出错的界面,显示有五个错误,中间一排红色字是错误提示可以根据提示来对程序进行修改。2 (四)选择器件ACEX1KEPIK30TC144-1,如下图界面,选择好器件后点ok即可。进行管脚分配:

5、启动MAX+PLUS IIFloorplan Editor菜单命令。3 选择Layout4 下面是管脚分配图:管脚分配完毕后需要再编译一次7. 器件编程启动MAX+PLUS II-Programmer菜单。选择JTAG-Multi-Device JATG Chain菜单项。点击Select Program File 按钮,选择要下载的sof文件,然后按Add按钮添加到文件列表中,按OK按钮。8. 启动MAX+PLUS IIProgrammerconfigure进行下载,以下是下载界面9. 10. 还可以进行波形仿真:下面是波形仿真界面:用同样的方法设计二十四,六十,一百,一千进制计数器编辑图形

6、文件:文件名方法同上1 放置器件在原理图上:(1)启动newgraphic在原理图的空白处点右键。(2)点entersymbl,选择所要的器件,按下OK即可。(3)如果安放相同元件,只要按住Ctrl键,同时用鼠标左键拖动该文件。2添加连线到器件的管脚上,把鼠标移到元件引脚附近,这时鼠标指示符自动变为“+“形状,然后可按下述步骤操作:(1) 一直按住鼠标拖到第二个管脚。(2) 放开左键,则一条线画好了。(3) 如果需要删除一根线,可单击这根线使其变成红色线,然后按Del键即可。 下图为我们所画的简单的有计时功能的数字钟:3保存原理图单击保存按钮,选择合适目录、合适名称保存刚才输入的原理图,原理图

7、的扩展名为.gdf设计项目的编译,引脚分配方法同上。 4最后Configure即可完成器件编程综合实验设计方案: 1先设计基础部分的要求: (1)数字电子钟由时、分、秒组成,小时利用二十四进制加法器,而分钟和秒钟用六十进制加法器,前面我们已经完成了六十进制加法器的设计,因此只需利用同样的方法做一个二十四进制加法器。(2)要具有小时、分钟校准功能,可在二十四进制加法器和六十进制加法器的clk端通过一个或门再添加一个电平控制,不影响进位位,当所加的控制电平为高时,就有小时、分钟校准功能,控制电平为低时,不具有小时、分钟校准功能,由于是或门,所以控制电平的高低不会影响进位位。以下是实验原理图: 2扩

8、展部分方案: (1)当分钟向小时进位时,就是整点,要使整点报时,则我们可以在所编写的的硬件描术语言中来进行修改,使得当进位位为1时,输出为高电平,而再将这个高电平接到器件的喇叭就可以进行整点报时。 (2)同时要使秒为55,56,57,58,59时也有响声,我们在秒钟所使用的六十进制加法器的硬件描术语言中添加一些限制条件,即当十位为5,个位大于4且小于10时输出高电平。 (3)另外,整点为高音,55,56,57,58,59低音响。我们是在基础部分电路图中增加了两个四输入的与门和一个两输入的或门,将两个进位信号作为与门的输入,并输入一高频率电平,使得整点为高音,对于低音响的增加一较低频率电平,并输

9、入时钟信号,使其能够间断振铃。(4)设计最大计时99分59秒999毫秒的独立的跑表,不影响数字钟正常工作。这需要1000,60,100进制计数器,由于我们需要一个1000HZ的频率信号,而实验箱只能提供一个3MHZ的频率信号,所以我们还需要一个三千分频器,以下是一个跑表的原理图:(4) 由于数码管有限,不允许我们时间和跑表同时显示,所以我们需要对这两组数据进行二选一,以下是集计时和跑表于一体的原理图:(5) 一个数字钟基本功能必须还要闹钟功能,既然有闹钟那么什么时候闹我们需要设定,设定的时候我们还需知道我们到底设的是什么时间,所以需要数码管显示出来,这又涉及到数码管不够用的问题,所以我们又需做

10、一次二选一,以下是带闹钟的数字钟原理图:(6) 原理图上接的8个LED灯使我们设计的流水灯(7)最后我们还尝试了做一做闹钟花样,但是还有点缺陷,花样效果不明显有点遗憾:以下是我们的原理图:(1)编译,器件选择,引脚分配完后,启动MAX+PLUS II-Programmer菜单。(2)选择JTAG-Multi-Device JATG Chain菜单项。(3)在出现的对话框中按Configure,即可完成器件编程。以下是实验过程用到过的模块: 实验成果:最后的数字钟所具有的功能有: 1、时、分、秒六位数码管显示(标准时间); 2、具有小时、分钟校准功能; 3整点报时:55,56,57,58,59低

11、音响,正点高音,间断振铃。 4.跑表功能 5.闹钟及闹钟时间设定 6.流水灯555振荡器原理图及PCB设计 一、实验目的: 1通过一个简单的555振荡器的设计,初步了解CAD设计的全过程,初步DesignExplorer99软件的使用。二、实验内容:(一) 进入Windows操作系统,打开DesignExplorer99的设计软件。1在File 文件菜单中建立新的项目,并命名sheet1。2在File 文件菜单中建立新的文件,选择Schematic Document并命名为sheet1。3在Browse下选择Libraries,点击下面的Add/Remove,在库中选择Protel Dos S

12、chematic Libraries. (二)设计的输入1放置器件在原理图上; 2添加连线到器件的管脚上; 3.按照要求改变各个器件的属性。 下图为我们所画的原理图: (三)PCB设计 1点击File,在下拉菜单选择新建文件,然后在所出现的窗口中选择PCB Document, 并命名为sheet1。 2在ADVpcd下选择Library,再选择pcb. 3在Design设计菜单中选择PCB1,显示整个电路图。 4选择Keepout,点击Place,在下拉菜单中选择track线,用鼠标左键将整个电路图用线框起来。下面是我们的原理图: 5然后在Auto Route启动布线下拉菜单中选择第一项对原理

13、图进行布线。 以下为我们的原理图的布线图: (四)比较: 选择Tool工具倒数第二个选项,再回到原理图,选择Report菜单中的最后一项,可察看原理图与PCB的比较结果:课程总结对于这门课程,虽然是短短的八个周的学习,但的确是学到了不少东西,学习了两个新的软件以及它们的使用全过程,基本学会了运用这两个软件设计简单的电路,同时,也基本掌握了另一种语言硬件描述语言VHDL语言,了解了该语言的基本语法和意思,懂得了怎样应用VHDL语言设计计数器,其实我们学习了MAX+PLUS II软件的两种输入方法:图形输入和文本输入。虽然我们只是初步的学习了这两个软件,可是我们已经开了头,万事开头难,相信以后如果

14、需深入学习,就会给我们带来很多方便。还记得前一两次课的时候我和同组同学在那抱怨什么都不会,总是会遇到各种问题加之黄老师脾气“彪悍”又不敢问,就在那傻坐着或者等另外两个老师来跟我们指导,或者闲着无聊扫扫雷。但是后来我渐渐进入了状态,觉得这门课老师的给我们的任务其实很简单进展突飞猛进觉得很有成就感。各种功能相继实现,好多同学过来求指导,蛮高兴的,就是在这种喜悦中课程要结束了,不知道以后还是否有机会的到“火爆脾气”的黄老师的指导,觉得很有点不舍。上面这些只是从书面上学习到的东西,更重要的是学习到了一种学习方式,一种思维模式。我想在很多年以后我们都会忘记在三峡大学的cpld课上学了些什么东西,但是这种互助的工作方式,追求创新的精神我们会将其运用的更加到位。在此,我衷心的跟老师说声谢谢!“上苍有好生之德”学生会铭记心中。2011年4月24日

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1