ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:134.67KB ,
资源ID:4113356      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4113356.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(C51单片机实现电子闹钟.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

C51单片机实现电子闹钟.docx

1、C51单片机实现电子闹钟课程名称:单片机原理与接口技术实践 设计课题: 基于MCS 51单片机实现电子闹钟功能的设计学 院:电子与信息工程学院专 业:通信工程小组成员:电子闹钟在科学技术高度发展的今天,千家万户都少不了它,所以很多家庭个人都需要有一个电子闹钟,为人们提供报时方便,但普通电子闹钟不够方便实用。本文给出了一种基于MCS51单片机实现电子闹钟功能的设计方法,从而给人们带来更为方便的工作与生活。一.电子闹钟简介我们设计的电子闹钟是以MCS 51单片机中的计时器作为时钟,用8位数码管显示当前时间,并且可以设置闹钟时间,并在设置的时间点发出闹铃。简易闹钟具有以下功能:1.时钟能准确地走时,

2、并可以通过数码管进行显示2.复位后可以进行当前时间的设置3.可以随意设置闹钟时间,闹钟会在设置时间响铃整个系统的任务要求:1)输入数字按键的功能。保证数字的输入。2)复位电路的功能。所有时间回到初始化状态,用于启动设定时间参数(调时或设定闹钟时间);3)显示电路的功能。当输入数字时显示24小时时间功能。4)闹铃功能设置闹铃的时间后.能按设置好的时间准时闹铃。二.系统方案的设计要求 根据以上各模块并结合显示屏的功能及元器件材料的情况,决定采用AT89C51为内核显示设计方案。先进行系统的整体规划确定整个系统的功能,然后按照每个功能的具体要求,进行各个模块的实物设计并逐个调试,待全部通过后,进行整

3、个系统的联调,最终实现一个完整的系统。整个系统的设计步骤如下:在单片机最小系统的基础上,完成按键电路和复位电路的设计。完成显示电路、数字按键、单片机时钟电路。硬件设计系统硬件的设计可以根据系统的各个功能,把整个系统划分成若干个模块,分别对这些模块来进行设计,然后在通过单片机程序来实现对各个硬件模块功能的调度。本系统涉及到的硬件模块有:按键电路、数码管显示电路、单片机时钟电路、蜂鸣器电路。各部分实现功能如下:按键电路:提供按键信号。单片机时钟电路、复位电路:提供内部时钟。数码管驱动显示电路:显示当前时间。蜂鸣器电路:闹钟报时。 软件设计本系统的软件部分主要完成功能:时分秒的进位算法处理、数码管的

4、时间显示、时间调整设置、闹钟功能。根据软件的功能划分软件设计模块结构,如下所示其中各个模块具体任务如下:按键驱动模块:对各个按键的功能进行相关的定义。LED驱动模块:根据系统需要显示相应的数字时间;时间处理模块:时、分、秒的进位算法处理三.系统硬件电路的设计1根据确定的硬件方案设计硬件框图,如下图所示:2系统原理图的设计系统总的硬件电路如下图所示实际要用到5个按键,受空间限制仿真电路中只画出4个。单片机管脚资源分配:P0口为数码管段选信号输出口。P3口为数码管位选信号输出口。P1.0、P1.1、P1.2、P1.3、P1.4为键盘的输入信号。P3.6为蜂鸣器信号的输出口。下面分别介绍各个电路。(

5、1)数码管驱动及显示电路本设计采用8位7段共阳极数码管用来显示时间。为了将时间在LED数码管上显示可采用动态显示法。通过对每位数码管的依次扫描,使对应数码管亮;同时向该数码管送对应的字码使其显示数字。由于数码管扫描周期很短,而且人眼有视觉暂留效应,所以数码管看起来总是亮的从而实现了数字的同时显示。 数码管主要包括位选和段选信号线。位选是用来选通数码管的,只有位选信号有效该数码管才会亮并显示要现实的数字;段选是选择数码管7段的那一笔亮,从而显示不同的数字。本设计中单片机P0口输出段选数据,P3口输出位选数据。数码管与单片机相连的电路如下图所示:电路中使用了芯片74ls245,该芯片用来驱动LED

6、。其片选引脚要接地,使其一致为低电平,芯片一直可以工作。(2)晶振电路晶体以及电容C1、C2构成并联谐振电路,接在放大器的反馈回路中构成内部单片机内部时钟。晶体可在1.2MHz-12MHz之间任选,电容C1、C2的典型值为通常选择为30pF左右,这时对应的始终频率为12MHz。单片机内部晶振电路图及连接电路如下:(3)按键电路本设计采用行列式按键电路,它由行线和列线组称成,按键位于行列的交叉点。一个4*4的行列结构可以构成一个16个按键的键盘,如下图所示。在按键数目较多的场合,行列式按键可以节省很多的I/O口线。按键的识别是通过扫描来实现的。单片机会依次扫描每一行和每一列,通过行线和列线的电平

7、高低即可判断哪个按键被按下。 本设计中用到四个按键,P1.0、P1.1、P1.2、P1.3 、P1.4,分别用于时间校准设置、定闹设置、秒分时切换、加1调整、减1调整。按键与单片机相连电路如下:由原理图可以明显看出,当按键未被按下时,对应引脚为高电平;按键被按下时为低电平。通过扫描即可辨别哪一个按键被按下。本题画出的虽然是独立按键,但是内部驱动依然是行列式按键的驱动,这里只是为了画图方便。(4)复位电路当按下复位键时单片机进入复位状态,可以进行时间的设置等。电路图如下所示:四.系统软件部分的设计本设计的软件系统用来配合硬件电路实现特定的功能。程序主要包含键盘扫描模块、时间处理模块、和数码管显示

8、程序3大部分。程序大致流程如下:否 是 否是 1.时间处理模块单片机晶振频率为12MHz,一个机器周期等于12个振荡脉冲周期,因此机器时序频率是振荡频率的1/12,即1MHz,所以一个机器周期为1s。本设计用的是计时器0的方式1,对于方式1,计数个数M与计数初值N的关系为 M=216 N,定时时间t与计数个数M和初值N的关系为 t = M*机器周期 =(216-N)*时钟周期*12。计时过程中,秒sec等于60时清零,分min加一;分min等于60时清零,小时hour加一;当小时大于24时,置为0。时分秒的进位算法代码如下:void timecontrol()? ? ? ? ? ? ? sec

9、+;? ? ? ? if(sec=60) /秒sec到60清零,分min加一? ? ? ? ? ? ? ? ? sec=0;? ? ? ? ? ? ? ? min+;? ? ? ? ? ? ? ? if(min=60) /分min到60清零,时hour加一? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? min=0;? ? ? ? ? ? ? ? ? ? ? ? hour+;? ? ? ? ? ? ? ? ? ? ? ? if(hour=24) /小时hour等于24时清零? ? ? ? ? ? ? ? ? ? ? ? hour=0;? ? ? ? ? ? ? ?

10、 ? ? ? ? 定时器的先关设置:void main()? ? ? ? TMOD=0x01;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? /定时器0工作在方式1? ? ? ? TH0=(65536-45872)/256;? ? ? ? ? /装初值,50ms? ? ? ? TL0=(65536-45872)%256;? ? ? ? EA=1;? ? ? ? ? ? ? ? ? /总中断允许? ? ? ? ET0=1;? ? ? ? ? ? ? ? /T0中断允许? ? ? ? TR0=1;? ? ? ? ? ? ? ? ?/开定时器0? ? ? ? while(1)? ?

11、? ? ? ? ? ? ? ? ? ? keyscan_settime();? ? ? ? ? ? ? ? keyscan_time();? ? ? ? ? ? ? ? ? ? ? buz();? ? ? ? ? ? ? 2.数码管显示模块 本设计中以P0口作为数码管的段选输出,P3口为位选信号输出。由于所用数码管为共阳极连接,所以要点亮的数码管位选端为高电平1.位选信号的I/O口声明#include#define uchar unsigned char#define uint unsigned int? ? ? ? ?sbit s1=P30;? ? ? ? ? ? ? ? ?/数码管位选控制

12、端的定义sbit s2=P31;sbit s3=P32;sbit s4=P33;sbit s5=P34;sbit s6=P35;sbit s7=P36;段码表:uchar code mum=0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90; /共阳数码管0-9编码数码管显示函数如下:void display(uchar zs,uchar zm, uchar zh)? ? ? ? ? ? /数码管显示函数? ? ? ?s1=1; /P3即S系列输出位选信号?P0=mumzh/10; /P0输出段选信号 ,显示小时的十位 ?delayms(5);?

13、s1=0;?s2=1;?P0=mumzh%10&0x7f;? ? ? ? ? /?显示时的个位加上小数点?delayms(5);?s2=0;?s3=1;?P0=mumzm/10;? ? ? ? /显示分的十位?delayms(5);?s3=0;?s4=1;?P0=mumzm%10&0x7f;? ? ? ? ? ?/分的个位加上小数点?delayms(5);?s4=0;?s5=1;?P0=mumzs/10; /秒的十位?delayms(5);?s5=0;?s6=1;? ? ? ?P0=mumzs%10; /秒的个位?delayms(5);?s6=0;3.键盘扫描模块 首先要声明各个按键的功能以及

14、对应的I/O口,然后驱动程序将对按键进行扫描以识别被按下的键。按键对应I/O口声明/*k1设置时间,k2定时,k3时分秒选择,k4加数字,k5减数字。*/sbit k1=P10;? ? ? ? ?sbit k2=P11;sbit k3=P12;sbit k4=P13;sbit k5=P14;键盘扫描以进行时间设置void keyscan_settime()? ? ? ? ? ? ? ? ?/时间设置模块? ? ? ? uchar st,mt,ht;? ? ? ? if(k1=0)? ? ? ? ? ? ? ? ? ? ? ? delayms(10); /延迟一段时间再次扫描以达到软件消抖的目的

15、? ? ? ? ? ? ? ? if(k1=0)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? st=sec,mt=min,ht=hour;? ? ? ? ? ? ? ? TR0=0;? ? ? ? ? ? ? ?/关闭定时器? ? ? ? ? ? ? ? while(k1=0) ? ? ? ? /等待用户按键? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? display(st,mt,ht);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(k3=0)? ? ? ? ? ? ? ? ? ? ? ?

16、? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? delayms(10);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(k3=0)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? while(!k3);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ss+;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(ss=3)? ? ? ? ss=0;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ?

17、? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? /*通过ss选择时分秒设置*/? ? ? ? ? ? ? ? ? ? ? ? if(k4=0)? ? ? ? ? ? ? ? /加数? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? delayms(10);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(k4=0)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ?

18、? ? ? ? ? ? while(!k4);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? switch(ss)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? case 0:st+;if(st=60)st=0;break;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? case 1:mt+;if(mt=60)mt=0;break;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? case

19、2:ht+;if(ht=24)ht=0;break;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? default :;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(k5=0)? ? ? ? ? ? ? ? /减数? ?

20、 ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? delayms(10);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(k5=0)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? while(!k5);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? switch(ss)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ?

21、? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? case 0:if(st0)st-;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? else? ? ? ? st=0;break;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? case 1:if(mt0)mt-;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? else? ? ? ? mt=0;break;? ? ? ? ? ? ? ? ?

22、? ? ? ? ? ? ? ? ? ? ? case 2:if(ht0)ht-;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? else? ? ? ? ht=0;break;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? default : ;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ?

23、? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? TR0=1; /设置完毕,开启定时器? ? ? ? ? ? ? ? ? ? ? ? sec=st,min=mt,hour=ht;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ?

24、? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? display(sec,min,hour);用户定闹钟时间模块void keyscan_time()? ? ? ? ? ? ? ? /用户定时模块? ? ? ? if(k2=0)? ? ? ? ? ? ? ? ? ? ? ? delayms(10);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(k2=0)? ? ? ? ? ? ? ? ? ?

25、 ? ? ? ? ? ? s=sec;m=min;h=hour;? ? ? ? ? ? ? ? while(k2=0) ? ? ? ? /开始定时设置? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? display(s,m,h);? ? ? ? ? ? ? ? ? ? ? ? if(k3=0)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? delayms(10);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(k3=0)? ? ? ? ? ? ? ? ? ? ? ? ? ? ?

26、? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? while(!k3);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ss+;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(ss=3)? ? ? ? ss=0;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? /*通过ss选择时分秒设置*/? ? ? ? ? ? ? ? ? ? ? ? if(k4=0)? ? ? ? ? ? ? ? /加一? ? ? ? ? ?

27、? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? delayms(10);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(k4=0)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? while(!k4);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? switch(ss)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ?

28、 ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? case 0:s+;if(s=60)s=0;break;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? case 1:m+;if(m=60)m=0;break;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? case 2:h+;if(h=24)h=0;break;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? default :;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ?

29、 ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(k5=0)? ? ? ? ? ? ? ? /减一? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? delayms(10);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? if(k

30、5=0)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? while(!k5);? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? switch(ss)? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? case 0:if(s0)s-;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? else? ? ? ? s=0;break;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? case 1:if(m0)m-;? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? ? else? ? ? ? m=0;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1