ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:225.18KB ,
资源ID:411043      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/411043.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(全自动电梯控制电路.docx)为本站会员(b****1)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

全自动电梯控制电路.docx

1、全自动电梯控制电路全自动电梯控制电路1选题目的随着我国经济持续增长、城镇化建设的加速和房地产行业的进一步发展,对电梯的需求越来越大。所以电梯控制器就需要大强度的发展,来满足社会的需求。电梯的发展更加的智能化,节约化。对社会的发展,人民生活水平的提高具有很大的意义。所以加大对电梯控制器的发展,和技术上的研究是很重要的。随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。EDA技术的提高,促进了电梯控制器的发展。电梯行业也随着科

2、技的发展,不断地出现在人们生活的各个场所,因此,对电梯控制器的设计是一个很实用的例子,对掌握EDA技术的应用也有很大的帮助。二设计目标掌握EDA设计的一般方法;熟悉Quartus 9.0软件,利用其设计一个符合以下设计要求的全自动电梯控制电路。 设计要求设计一个层楼房全自动电梯控制电路,其功能如下: 每层楼电梯入口处设有上,下请求开关各,电梯内设有乘客到达层次的停站要求开关。 有电梯所处位置指示装置和电梯上行,下行状态批示装置。 电梯每秒升(降)一层楼。到达某一层楼时,指示该层次的灯发光,并一直保持到电梯到达新一层为止。 电梯到达有停站请求的楼层后,该层次的指示灯亮,经过.5S,电梯门自动打开

3、,开门指示灯亮,开门5S后,电梯门自动关闭(开门指示灯灭),电梯继续运行。 能记忆电梯内外的所有请求信号,并按照电梯运行规则次第响应,每个请求信号保留至执行后撤除。 电梯运行规则,电梯处于上升模式时,只响应比电梯所在位置高的层次的上楼请求信号,由下而上逐个执行,直到最后一个请示执行完毕。如更高层次有下楼请求,则直接升到有下楼请求的楼层接客,然后便进入下降模式。电梯处于下降模式时与之相反,仅响应比电梯所在位置低的楼层的下楼请求。电梯执行完所有的请求后,应停在最后所在的位置不变,等待新的请求。 开机(接通电源)时,电梯应停留在一楼,而各种上,下请求皆被清除。 3实现方案3.1控制器的控制模块控制器

4、包括主控制器、楼层选择器、状态显示器、译码器和楼层显示器等6个模块。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行处理,同时显示电梯的运行状态和电梯所在楼层数。楼层显示器3.2 控制器的流程图等待 总流程图电梯停止开门关门停止 电梯控制主流程图 3.3控制器的VHDL描述模块流程四层电梯控制器的设计主要是对实体和结构体的设计,它的VHDL描述模块流程如图:四层电梯控制器的VHDL描述模块流程4设计过程4.1设计程序library ieee;use ieee.

5、std_logic_1164.all;use ieee.std_logic_unsigned.all;entity yxh isport(clk:in std_logic; clkout:out std_logic);end yxh;architecture one of yxh issignal count: std_logic_vector(8 downto 0);begin process beginwait until clkevent and clk=1; if count500 then count=count+1; clkout=0; else count0); clkout=1

6、; end if;end process;end architecture one;Elevator模块(控制器):library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity elevator is port( clk: in std_logic; up1,up2,up3,stop1,stop2,stop3,stop4,down4,down3,down2: in std_logic; ddelay,dclose: in std_logic; upled,downled: out std_log

7、ic; floorled,nowfloor: out std_logic_vector(3 downto 0); dopenled: out std_logic_vector(5 downto 0);end elevator;architecture bhv of elevator is type state_type is (start,run,opendoor,dopenwait2,dopenwait3,dopenwait4,dopenwait5,dopenwait6,dopenwait7,dopenwait8,dopenwait9,dopenwait10,dclse,up,down,up

8、wait1,upwait2,downwait1,downwait2,stop); signal state : state_type; signal upm,downm,stopm,dat: std_logic_vector(3 downto 0); signal dclosem,ddelaym: std_logic;beginstate_trans:process (clk,up1,up2,up3,down4,down3,down2,stop1,stop2,stop3,stop4,upm,downm,stopm,dat,ddelay,dclose)variable position: int

9、eger range 0 to 4;begin if rising_edge(clk) then if up1=1 then upm(0)=1; end if; if up2=1 then upm(1)=1; end if; if up3=1 then upm(2)=1; end if; upm(3)=0; if down4=1 then downm(3)=1; end if; if down3=1 then downm(2)=1; end if; if down2=1 then downm(1)=1; end if; downm(0)=0; if stop1=1 then stopm(0)=

10、1; end if; if stop2=1 then stopm(1)=1; end if; if stop3=1 then stopm(2)=1; end if; if stop4=1 then stopm(3)=1; end if; if dclose=1 then dclosem=1; end if; if ddelay=1 then ddelaym=1; end if; dat if dat=0000 then state = start; elsif position= 0 then position:=position+1; state if stopm(0)=1 or upm(0

11、)=1 then stopm(0)=0; upm(0)=0; state 0001 then state= up; end if; elsif position=2 then if stopm(1)=1 or upm(1)=1 or downm(1)=1 then stopm(1)=0; upm(1)=0; downm(1)=0; state 0011 then state= up; elsif dat 0010 then state= down; end if; elsif position=3 then if stopm(2)=1 or upm(2)=1 or downm(2)=1 the

12、n stopm(2)=0; upm(2)=0; downm(2)=0; state 0111 then state= up; elsif dat 0100 then state= down; end if; elsif position=4 then if stopm(3)=1 or downm(3)=1 then stopm(3)=0; downm(3)=0; state= opendoor; elsif dat 1000 then state upled=1; state state upled0011 or stopm0011) and stopm(1)=0 and upm(1)=0 t

13、hen state0111 and stopm(2)=0 and upm(2)=0 then state= up; else state downled= 1; state state downled= 0; position:=position-1; if position=3 and (downm0100 or stopm0100) and stopm(2)=0 and downm(2)=0 then state= down; elsif position=2 and dat0010 and stopm(1)=0 and downm(1)=0 then state= down; else state if position=1 then stopm(0)=0; upm(0)=0; elsif position=2 then stopm(1)=0; upm(1)=0; d

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1