ImageVerifierCode 换一换
格式:DOCX , 页数:76 ,大小:581.32KB ,
资源ID:4043049      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4043049.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA技术与VHDL实验指导书张芬.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA技术与VHDL实验指导书张芬.docx

1、EDA技术与VHDL实验指导书张芬目 录第一部分 ZY11EDA13BE实验系统简介 1一、ZY11EDA13BE实验系统特点 1二、ZY11EDA13BE实验系统主板组成 3三、ZY11EDA13BE实验系统各功能模块介绍 4四、实验箱配置说明 17五、选配的适配板与扩展板功能介绍及使用说明 17六、主板系统I/O分布 19七、 实验注意事项 25第二部分 实验部分 26实验一 MaxplusII软件入门并设计1位半加器 26实验二 七人表决器 27实验三 序列信号发生器 28实验四 基本组合逻辑电路的VHDL模型 30实验五 基本时序逻辑电路的VHDL模型 36实验六 Moore型有限状态

2、机的设计 55实验七 Melay型有限状态机的设计 58实验八 数字钟 61实验九 交通灯实验 62实验十 “梁祝”乐曲演奏电路设计 64第一部分 ZY11EDA13BE实验系统简介一、ZY11EDA13BE实验系统特点1、采用主板A(基本实验系统)+适配板B(扩展板)+扩展板C(适配板)的结构实验系统主板结构如图1所示。采用主板A(基本实验系统)+适配板B(扩展板)+扩展板C(适配板)的结构,配置灵活,可扩展性强,专业和应用范围适用面宽,体现出EDA实验箱工具化的本质。 配置灵活:主板是一个标准配置系统,是一个最简EDA工具系统,包含了核心芯片A(EP1K30QC208),在不需要适配板B与

3、扩展板C的情况下可以完成EDA教学的基本要求。无论现代CPLD/FPGA芯片怎样发展,主板系统仅是一个最简工具系统,不存在被市场淘汰的问题,也避免了用户需要升级时重复投资去购买新的型号,重复学习另一套系统,走入一个主系统不断升级、学校老师精力无限重复投入的怪圈。 在主板系统基础上可以任意扩展不同系统,体现出基本实验系统仅是EDA技术的开发工具,比如主板+单片机+扩展板构成单片机系统,以及主板+CPLD/FPGA+单片机、主板+DSP+单片机、主板+CPLD/FPGA+DSP等多重系统。这种结构可以开发各种适配板与扩展板,可以建立不同的方案来满足要求,充分体现EDA工具箱的本质。 适用范围面宽:

4、由于电子自动化设计的高速发展,应用面在不断展宽,作为工具箱可以适应各方面的开发与应用。比如: EDA与VHDL教学实验; 普通数字电路课程实验; 电子设计竞赛; 科研或研究生培训; 基于EDA的电子产品或SOC开发; 现代计算机组成原理实验; 现代DSP实验开发; 通信实验与语音实验设计; SOC、SOPC、ASIC实验室建设等。2、主板中所有I/O口开放鉴于工具箱的本质,则在实现免连线功能的同时,通过插孔开放出所有I/O口,开放出主板系统的所有资源,实现工具化的本质。同时,也实现了实验箱与实验箱的级联,成为更大的主板系统,使资源更丰富,使系统设计成为可能。比如:一个实验箱有8个数码管,若在一

5、个系统设计中,要用到16个数码管,可以不用另外购买做成扩展板这么麻烦,可以用两 个实验箱级联来完成。若用到更多数码管,可以用更多的实验箱级联,诸如这样的级联,可以构成更复杂的多重系统,使大型EDA实验室成为可能。 3、数字EDA与模拟EDA混合实验与开发除了主板的模数转换实验,如果配以模拟EDA器件适配板,就能在主板系统上完成模拟EDA实验或模数混合实验的开发。 4、编程下载通用性强主板系统含FPGA/CPLD通用下载编程模块,可用于对Altera 、Lattice、Xilinx等多家国际著名的PLD公司几乎所有isp或现场配置的CPLD/FPGA进行编程下载,包括可对不同工作电压CPLD/F

6、PGA的编程,且在编程中无须作任何跳线切换即能自动识别主系统上的芯片,安全可靠,适合学生高密度的实验操作。 5、良好的混合电压(MV)兼容功能绿色能源电子系统要求器件低功耗、低噪声和高电磁兼容性。因此低电压器件的使用将更加重要和普遍,如笔记本电脑、嵌入式系统等,其中的主要器件皆为低电压器件。特别是低芯核电压的FPGA/CPLD器件已成为当今电子设计开发和应用的主流,主板系统完全适应这一发展趋势,具备了对5V、3.3V、2.5V、1.8V不同芯核电压的FPGA/CPLD器件编程下载和实验开发的全兼容功能。因此,用户可避免单5V的EDA实验系统过时淘汰之虑。另外主板系统电源是可调的,可以满足不同电

7、压的系统电路,尤其是低电压系统。6、基于EDA技术的免连线实验系统为了实现EDA实验系统的工具性特点,提高免连线的灵活性,采用可编程的核心芯片对外围资源进行灵活配置,采用这种EDA技术,用户只需对核心芯片重新配置,即可连接成不同的实验系统或多重实验系统,从而满足不同方面的需要。7、配置全面衡量CPLD/FPGA重要参数除了可用逻辑资源外就是它的速度等级,尤其是它突出的并行处理和控制能力,已使CPLD/FPGA在高层次上得到广泛应用,EDA-E实验箱作为一个EDA开发平台或工具箱,还能支持高频系统,实验箱配有100M标准信号源,同时可以接入更高的频率段信号,并能实现倍频功能,这样无疑提供了一个深

8、入学习EDA技术更加广阔全面的开发平台。二、ZY11EDA13BE实验系统主板组成 通用编程模块 液晶显示模块 数码管显示模块 A/D、D/A转换模块 LED显示模块 数字可调信号源 滤波模块 信号调节模块 逻辑笔模块 配置模块 模式选择模块 模拟信号源 44键盘模块 开关按键模块 电源模块 分立元件模块 喇叭模块 I/O口插孔 核心芯片A 适配板B插座 扩展板C插座 40PIN插座三、ZY11EDA13BE实验系统各功能模块介绍1、通用编程模块该模块为CPLD/FPGA器件的通用下载电路模块,可以对ALTERA、LATTICE、XILINX等国际著名的PLD公司几乎所有isp或现场配置的CP

9、LD/FPGA进行编程下载,且能自动识别。选择不同的跳线XK1(5V)、XK2(3.3V)、XK3(2.5V)或XK4(1.8V),可以对不同芯核电压的CPLD/FPGA器件进行在系统编程和配置。连线/下载方法:将25针下载电缆线一端插入LPT1(打印机口即并行口),另一端连接到通用编程模块的DB25接口,再用十针连接线一头插入通用编程模块的JTAG下载接口处,另一头连接到下载目标芯片的下载接口或配置芯片的配置接口处,然后通过PC机软件进行下载或配置。针对实验箱可以自行开发适配板,其目标芯片下载接口遵守如下定义:12345678910ALTERATCKGNDTDONCTMSNCNCDB7TDI

10、NCLATTICETDIGNDNCTMSTCKisPEN-TDO-XILINXTDIGND-TMSTCKNCTDONC-注:表中“-”表示该公司部分下载接口引脚连接在一起; NC表示悬空,不接。 DB7为使用EPC2芯片所提供的管脚。十字连接插座引脚如右图所示:定义引脚顺序为:从下到上,从左到右 2、液晶显示模块液晶为选配器件,型号为FM12232B(或兼容型号器件都可以),在配置好液晶后才可以做液晶显示控制实验,该类型液晶的具体工作原理可参考附带光盘中提供的资料(和实验四十三源程序放在一起),液晶设计内容详见实验说明。另外该模块分配了I/O0-I/O10共11个I/O口,并通过插孔引出I/O

11、0-I/O10。液晶I/O分布原理图如下所示: 3、数码管显示模块此模块的I/O分布原理图如下图所示: 8个数码管(SM8-SM1)为共阴数码管(段码为高电平点亮数码管),I/O2-I/O0(对应74138的C、B、A)为数码管位选, 位选对应的数码管关系如下表所示:接口序号(硬件接口管脚号)数码管选中状态,可显示I/O2(C)I/O1(B)I/O0(A)111SM8110 SM7101 SM6100 SM5011 SM4010 SM3001 SM2000 SM1I/03-I/O10(对应数码管a-h)为段码,数码管结构图如下图所示: 另外还有I/O11在此模块通过插孔引出,开放出I/O11。

12、 使用方法说明:在位选选中一个数码管情况下送出段码,在该数码管中显示段码字符,然后接着选中其它数码管,送其它字符。在送出的位选足够快的情况下,人眼就分辨不出是一位一位显示出来的,给人的感觉是一起显示的。我们在设计电路时常用32768Hz的信号进行计数来产生位选数据(已足够快)。设计中我们关注的是在选中哪一位时送什么字符,如我们在数码管SM8-SM1中分别显示0-7字符,则位选为000时我们送出0字符的段码,选中到其它位选时对应送出相应字符即完成了显示功能。 4、A/D、D/A转换模块(1)A/D转换功能:可参考附带光盘中提供的TLC5510芯片资料(和实验三十源程序放在一起)。高速的模数转换器

13、,实验时从插孔输入模拟信号,输入信号幅度要求控制在0-5V。输出D8-D1为采样后的输出数据。目前我们常用的数字存储示波器的原理可以在此实现,可以用核心芯片来设计A/D接口电路与存储电路,把采集到的数据存储起来,要观察时通过D/A输出,这样可以完成数字存储示波器的功能,有兴趣的同学不妨在实验三十的基础上进行设计。(2)D/A转换功能:可参考附带光盘中提供的TLC7524芯片资料(和实验二十九源程序放在一起)。该芯片把数字量转化为电流量,通过运放转换为电压输出。由于输入的是数字量,最后输出的是间断的点电压值,由于该芯片为高速D/A转换器件,在送出数据很快的情况下看不出间断点,为此可以利用D/A完

14、成任意波形发生器实验。为了产生比较好的波形,我们可以把输出经过实验箱的滤波模块滤波产生更好的模拟信号。另外一点要注意的是运放是12V供电,在做实验时一定要打开该电源,否则没有结果输出。5、LED显示模块16个发光二极管(LED1-LED16),主要用于输出显示,输出高电平时发光二极管亮。另外I/O12-I/O27在模拟信号源模块的上方通过插孔引出。 6、数字可调信号源主板上配有非常丰富的时钟信号,为实验提供了极大的方便。时钟源模块共有三组“时钟信号”源(每组分为低中高频段),在该模块中由12MHZ、4.194304MHZ的晶体通过RC振荡电路、74LS393分频电路依次得到各种不同时钟信号。另

15、外100MHz的时钟信号直接由有源晶体输出,没有经过处理,在测量100MHz的频率时可能误差较大。以上的时钟信号可以分为3组,分别为TJ1、TJ2、TJ3为一组;TJ4、TJ5、TJ6为一组;TJ7、TJ8、TJ9为一组,如下图所示,分别连接到核心芯片A(EP1K30QC208)的GCK1,GCK2,GCK3。可以通过跳线来调节各种不同的输出频率,同时可以通过插孔CLK1、CLK2、CLK3引出主板系统的三组时钟源用作它用,也可以引入外置的时钟源进入主板系统,使时钟源的使用非常灵活,扩展性强。当打开TPW1电源开关时,时钟源模块开始工作,正常工作时指示灯TL1亮。上图中GCK1,GCK2,GC

16、K3都可以通过跳线调节时钟信号,频率范围从1Hz-100MHz,有些时钟信号固定在核心芯片A的专用输入引脚上,如下表所示:时钟信号名 核心芯片EPF1K30QC208-2管脚号 管脚名GCK1(可调)79Global CLK GCK2(可调) 183Global CLK GCK3(可调) 80Ded.Input32768Hz(固定) 78Ded.Input4.194304M(固定) 182Ded.Input100M(固定) 184Ded.Input 在设计时常用到固定时钟信号,如显示电路设计常用32768Hz。一旦时钟源电源打开时就有信号输入到核心芯片上,影响对芯片的配置,所以在对核心芯片下载

17、时最好关闭时钟源电源开关。7、滤波模块对信号起高通、带通、低通三种滤波作用,通过调节电位器FW1、FW2的阻值可以改变截止频率或中心频率,为信号提供滤波的工具。该模块可对差模输入信号进行滤波,若是单端输入,一端输入信号而另一端接地。在对信号进行滤波时,用FW1和FW2调节滤波效果。8、信号调节模块主要用来对信号调节,下面左图功能是把输入信号的电平抬高,即把直流分量叠加到输入信号中,调节FW3可以改变叠加的直流分量大小。右图功能是把输入信号进行反向比例放大(包括缩小信号),FW4调节放大信号的比例。9、逻辑笔模块提供一个逻辑笔模块,把逻辑笔一端插入该模块插孔,另一端用来判断电平状态,符合TTL电

18、平,即对于低电平的阀值选择为小于0.5V,绿指示灯亮来指示,对于高电平的阀值选择为大于2.4V,红指示灯亮来指示,介于二者之间的为高阻,黄指示灯亮来指示,一般悬空状态为高阻态。10、配置模块配置模块配置了一块Altera公司专用配置芯片EPC2,型号为EPC2LC20(EEPROM芯片,容量大小为2M位),为选配元件,关于EPC2详细资料参见附带光盘的PDF文档,该芯片主要起掉电保护作用。还有两个十针的下载接口(EPC2下载接口与核心芯片下载接口),详细的下载方法可参见附带光盘MaxPlus II 10.2学习课件(放在附带光盘实验一目录下)所介绍的相关内容。此模块的跳线CK1主要用来选择核心

19、芯片A(EP1K30QC208)的接口电压(VCCIO),短接1、2脚为3.3V,短接2、3脚为2.5V,出厂时1、2脚短接;跳线CK2始终短接,主要为核心芯片A(EP1K30QC208)提供核心工作电压(VCCINT)2.5V。11、模式选择模块为了全面开放I/O口,我们通过拨码开关CTRL来实现I/O口的免连线问题,模式选择模块功能说明如下图所示,拨码开关CTRL功能在实验箱上写了标识说明,在做实验时对照标识操作。如在实现免连线功能时要用到数码管可以把拨码开关的第一脚拨向ON端,表示数码管可以用来显示输出的数据,若实现全开放I/O口系统时把拨码开关所有脚设置无效,即拨码开关全部不要拨向ON

20、。 12、模拟信号源提供模拟信号源,模拟信号源原理图如下图所示,主要是由集成芯片MAX083产生不同的波形,可参考附带光盘中提供的MAX038芯片资料(和实验二十九源程序放在一起)。OUT为波形输出信号,通过AB1、AB2选择不同波形输出;AJ1-AJ3选择不同的频率段;IN输入不同电压值可以改变输出信号的频率,从而可以做扫频源实验。13、44键盘模块通常矩阵式键盘都是单片机控制,本模块提供的键盘模块完全用CPLD/FPGA控制,具体实现方法见相关实验内容。I/O分布原理图如下图所示:14、开关按键模块模块分配了I/O28-I/O43共16个I/O口,I/O分布原理图如下图所示,同时这16个I

21、/O口通过插孔引出。16个按键K1K16,16个拨位开关KD1KD16,16个发光二极管KL1KL16。每一个纵列的一组开关、按键、发光二极管与下载板上CPLD/FPGA的一个I/O口对应相连。如上图所示,在模式选择中选择有效时,当与I/O口相对应的开关Kdi(i=1.16)作为输入使用时,开关拨向上,开关上方的发光二极管亮,表示开关向该I/O口输入一个逻辑量为“1”的高电平,拨向下时,表示“0”。当需要用按键向I/O口输入一个短脉冲量时,首先需将开关拨向下方,按下键Ki(i=1,.16)后,发光二极管KLi亮,表示该按键Ki向对应I/O口输入了一个正脉冲。15、电源模块电源模块提供了丰富的直

22、流电源。打开交流开关引入220V交流电,正常工作时PL0指示灯亮,只有打开交流开关后,APW1、APW2直流开关才有效,打开APW1电源开关,正常工作时PL1指示灯亮,为系统提供+5V,+3.3V,+2.5V,+1.8V直流电源;打开APW2电源开关,正常工作时PL2、PL3指示灯亮,为系统提供+12V,-12V直流电源。另外+3.3V,+2.5V,+1.8V直流电源并非固定的,可以通过PW1,PW2,PW3电位器调节成不同的电压,例如把1.8V电源调节成1.5V或1.2V,这样使1.5V或1.2V系统成为可能,并且所有直流电源都通过插孔引出,为以后开发各种不同电源系统(尤其低电压系统)适配板

23、和扩展板成为可能。16、分立元件模块提供部分分立元件,有电阻、电容、热敏电阻、电位器等,主要为模拟实验提供方便。17、喇叭模块提供了语音输出功能,同时插孔SPEAKER引出了I/O44。18、I/O插孔整个实验箱的I/O口分布框图如图2所示,核心芯片A(EP1K30QC208)共有141个I/O口,为了实现所有I/O口开放功能,通过插孔引出了所有I/O口,在图2中描述了整个I/O分布的结构框图。I/O0-I/O44插孔分布已在上面各模块中已作说明,共45个;适配板B插座分布了BI/O0-BI/O54,共55个I/O口,在主板系统上引出了7个I/O口插孔,分别为BI/O48-BI/O54,另外的

24、BI/O0-BI/O47共48个I/O口通过扩展板(数字逻辑学习板)引出,数字逻辑学习板的介绍见本部分内容四实验箱配置说明中的扩展板说明部分;扩展板C插座分布了CI/O0-CI/O40共41个I/O口,在主板系统上引出了1个I/O口插孔为I/O40,同样所有CI/O0-CI/O40共41个也可以用扩展板(数字逻辑学习板)引出,实现了所有I/O口开放的功能。19、核心芯片A(EP1K30QC208) 图2 主板系统I/O分布框图EP1K30QC208芯片为ALTERA公司ACEX1K系列器件,其逻辑门为30000门(共有1728个LE),片内含有6个EAB(24576bit),支持多电压I/O接

25、口(+2.5V、+3.3V、+5V)芯核电压(VCCINT)为2.5V,I/O口电压(VCCIO)可以连接+2.5V或+3.3V。关于核心芯片的I/O口分布可见图2主板系统I/O口分布框图,相对应核心芯片管脚分布如下页图所示。 另外核心芯片的专用输入脚输入了特定时钟值,可以用程序分频得到100MHz以内的任意分频大小的值,从而丰富了时钟分量。若想自行开发或学习其它类型的CPLD/FPGA(包括单片机,DSP芯片等)适配板时,核心芯片起到适配板与主板系统硬件连接的桥梁作用,实现适配板I/O免连线与I/O口完全开放的功能,另外为配合核心芯片的使用,我们将配一个EEPROM芯片EPC2LC20(选配

26、),从而进行掉电保护,所谓掉电保护是指FPGA型芯片是RAM存储方式,断电后程序丢失,配置EEPROM芯片后,在加电时自动向目标芯片加入程序,不需要再下载程序,故在有意于开发或有适配板的情况下建议购买EEPROM芯片。20、适配板B插座 适配板是通过主板适配板B插座连接在一起的,共有两排80脚的插座CON1、CON2,自行设计时可参照如下图所示引脚定义,CON1的BI/O0-BI/O39通过一个40PIN插座(CZ1)引出,CON2通过两个40PIN插座(CZ20、CZ21)引出,具体40PIN插座引脚定义见40PIN插座说明。另外说明的引脚定义中电源与时钟引入定义跟扩展板C插座(见扩展板C插

27、座说明)定义完全一致,所以适配板B插座和扩展板C插座是互相兼容的,从而实现主板(基本实验系统)+适配板B(扩展板)+扩展板C(适配板)的灵活结构来构造多重复杂系统。21、扩展板C插座扩展板是通过主板扩展板C插座连接在一起的,共有两排80脚的插座CON3、CON4,自行设计时可参照如下图所示引脚步定义: 其中CON3的CI/O0-CI/O39通过一个40PIN插座(CZ3)引出,CON4通过两个40PIN插座(CZ40、CZ41)引出,具体40PIN插座引脚定义见40PIN插座说明。另外说明的引脚定义中电源与时钟引入定义跟适配板B插座(见适配板B插座说明)定义完全一致,所以扩展板C插座和适配板B

28、插座是互相兼容的,从而实现主板(基本实验系统)+适配板B(扩展板)+扩展板C(适配板)的灵活结构来构造多重复杂系统。22、40PIN插座主板系统中提供了6个40PIN插座(CZ1、CZ20、CZ21、CZ3、CZ40、CZ41)位置, 6个40PIN引脚定义如下图所示:由于整个主板系统已经满足到系统级设计要求,在没有超过更复杂设计时40PIN插座没有焊上,我们仅提供升级用时的一个方便接口。比如我们开发一个上百万门系统级芯片的适配板时,I/O口的个数有五、六百个之多,用在一个实验箱中的I/O口不会超过二百个,这样的适配板浪费了三、四百个I/O口,若实验箱级联采用插孔连线方式来用这些I/O口,要求

29、适配板有三、四百个I/O口插孔,这样适配板的大小和连线的复杂度是不能容忍的,所以我们采用40芯排线引入,这种方式为以后升级留下了很大的扩展空间。四、实验箱配置说明1、PC机 不同公司的芯片对应不同的软件,对PC机的要求都不同,本实验箱针对ALTERA公司ACEX1K系列EP1K30QC208芯片,要求586或以上的IBM PC微机或兼容机,内存需64MB以上,硬盘需1G以上。2、软件采用Maxplus II 10.2版软件,软件的安装与使用参见附带光盘的学习课件。3、ZY11EDA13BE型实验系统主要配置(1)主板系统主体实验箱 (必配)液晶FM12223A(或兼容型号)、EPC2LC20芯

30、片 (选配)(2)适配板主板系统中包含了ALTERA公司ACEX1K系列EP1K30QC208典型芯片,可不需要数字适配板,只配一块LATTICE公司ispPAC10-01PI的模拟适配板就可以构成数模系统化实验箱,若对其它适配板有兴趣,推荐下面典型芯片的适配板。01、 ALTERA公司EP1K100QC208-2数字适配板 (选配)02、 LATTICE公司ispLSI1032E-70LJ数字适配板 (选配)03、 XILINX公司XC95108-15PC84C数字适配板 (选配)04、 XILINX公司XCS30XL-5TQ144C数字适配板 (选配)05、 LATTICE公司ispPAC

31、10-01PI模拟适配板 (推荐选配)06、 LATTICE公司ispPAC20-01JI模拟适配板 (选配)07、 LATTICE公司ispPAC80-01PI模拟适配板 (选配)(3)扩展板扩展板可以向不同专业领域发展,熟悉主板系统后可以自行开发扩展板,我们也可以定做任何适配板,目前选配五块扩展板。1、数字逻辑学习板 (推荐选配)2、点阵扩展板 (推荐选配)3、单片机扩展板 (推荐选配)4、通信扩展板 (选配)5、语音适配板 (选配)五、选配的适配板与扩展板功能介绍及使用说明1、点阵扩展板可完成点阵实验与交通灯实验,具体I/O口分布如下图所示,CI/O0-CI/O15(从低位到高位共16位)

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1