ImageVerifierCode 换一换
格式:DOCX , 页数:9 ,大小:37.09KB ,
资源ID:4037749      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/4037749.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于EDA和单片机技术的逻辑分析仪设计课件.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于EDA和单片机技术的逻辑分析仪设计课件.docx

1、基于EDA和单片机技术的逻辑分析仪设计课件基于EDA和单片机技术的逻辑分析仪设计课件 摘要:本设计采用单片机控制8路逻辑信号电平采集;采用EDA技术设计的CPLD芯片处理逻辑信号,控制点阵扫描和分析结果在示波器上显示;单片机和CPLD间采用中断方式交换数据。该设计具有1、3级触发方式,触发字位置和浮动时标线显示等功能,以及友好操作界面和波 .摘要:本设计采用控制8路逻辑信号电平采集;采用EDA技术设计的CPLD芯片处理逻辑信号,控制点阵扫描和分析结果在示波器上显示;和CPLD间采用中断方式交换数据。该设计具有1、3级触发方式,触发字位置和浮动时标线显示等功能,以及友好操作界面和波形稳定显示等特

2、点,并拓宽示波器使用功能。关键字:点阵扫描控制;逻辑分析;CPLD;VHDL编程【Abstract】This design uses the one-chip microcomputer to be controlled gathering of logical signal levels of 8 tunnels, Use CPLDs chip of EDAs technical design to the logic signal processing and Control  scanning to the lattice signal and The result of di

3、splay analysis on the oscillograph , Use the interrupt mode exchange data between one-chip microcomputer and CPLD. this design has 1 and 3 grade of method of activation , trigger word seat display and time to be marked thread display  etc. merit ability,As well as friendly operation interface a

4、nd waveform stabilization what shows  etc. characteristic , And widen the oscillograph use merit ability . 【Key words】The lattice scanning control; The logical analysis; CPLD; VHDLs programming 软件设计软件设计部分有逻辑信号检测及数据采集处理、键盘显示扫描处理、采样字节输出处理和CPLD内部结构设计VHDL(硬件描述语言)编程四部分。本文主要介绍逻辑信号检测及数据采集处理和CPLD结

5、构设计VHDL编程部分。逻辑信号检测及数据采集处理逻辑信号检测及数据采集处理是通过单片机的INT1中断服务程序来完成。其中1级触发字逻辑信号检测中断服务程序和数据采集处理子程序流程如图6、图7所示。当各项参数设定后,按“确认键”保存参数,并打开逻辑信号检测中断(INT1),关闭采样字节输出中断(INT0)。每次逻辑信号发生器的位传送时钟(B-CLK)触发一次逻辑信号检测中断服务程序的执行,每次服务程序执行要进行8次路选和8次AD转换启动,并分别检测转换结束状态和读取转换结果。每次逻辑信号检测中断服务,要调用8路逻辑信号数据采集处理子程序,将8路信号状态转换成1个采样字节,并存入采样数据区。当数

6、据区存满后,关闭逻辑信号检测中断,打开采样字节输出中断,等待CPLD输出中断请求(CLPD-INT)。本设计将单片机和EDA技术结合起来,简化了硬件结构,工作性能稳定,经过应用测试,达到了逻辑分析仪应有的功能要求。 通过本设计,笔者认为,运用EDA技术设计电路,设计者可专注于电路的行为和功能,而不必考虑电路如何实现及电路布线,并且可通过计算机进行设计效果的模拟和修改,为设计者提供了更广阔的设计空间。用单片机和EDA技术开发的电子产品,在功能、性能指标和开发效率等方面比传统的方法有明显的提高,而且成本降低。参考文献:1顾乃级,孙续. 逻辑分析仪原理与应用M. 北京:人民邮电出版社,1973. &

7、nbsp;         2李华,MCS-51系列单片机实用接口技术M. 北京:北京航空航天大学出版社,1993.           3潘松,黄继业. EDA技术实用教程M. 北京:科学出版社,2002.内容简介 本文为南昌市某教学楼结构设计计算书。设计的主要内容要求是进行教学楼设计,包括建筑设计和结构设计。该住宅楼位于南昌市某内,建筑面积约为5816m2左右,建筑层数为六层,层高39m,属多层建筑,结构体系采用框架结构体

8、系。抗震设防烈度为六度,抗震等级按三级计算。 文件组成及目录 word计算书: 第一章:中文摘要1英文摘要2 第二章:工程概况4 英文资料及其译文51结束语          57 致谢            58 参考资料  59 CAD图纸:建筑总说明及门窗表一层平面图二层平面图三层平面图四层平面图五层平面图六层平面图屋顶平面图1-14立面图14-1立面图G-A立面图、1-1剖面图卫

9、生间、雨棚等大样图2-2剖面图、门窗大样各层楼梯大样结构施工图设计说明基础平面布置图柱截面配筋图一品框架配筋图标准层梁配筋图屋面梁配筋图梁截面配筋图1-2层板配筋图3-6层板配筋图屋面板配筋图图例大样 摘 要是先进制造技术的基础技术。加工在现代化生产中显示出很大的优越性。     对于现代制造业,数控机床非常适合那些形状复杂、精密和批量小的零件。而一般的普通机床根本无法满足这个要求。就连仿形机床和组合机床也解决不了高精度与小批量这个矛盾。因此数控加工非常适合航空、航天、电力、交通和电子等制造业的零件加工技术。     零件加工面临的一

10、个主要问题是产品的高精度、多样性和批量小的矛盾。这就要求从机床到数控都需要柔性。CNC数控系统由于采用软件控制,具有了很大的柔性。     现代的数控机床其突出的优点是可以进行高精度加工和多样化加工,完全可以取代其他的加工方法。由于数控机床是按照预定的程序自动加工,加工过程不需要人工干预,加工精度还可以通过软件进行校正及补偿,因此可以提高零件的加工精度,稳定产品的质量。特别对于多品种、少批量的零件更是如此。关键词  数控技术;板类零件;数控编程工件为板类零件外轮廓的加工,平面加工量较大,还有圆角,内形腔和孔的加工 所以要选择数控铣床加工 在此我用华中世纪

11、星HNC-21T系统的数控立铣床。该系统稳定性好,耐用度高,加工精度高。华中世纪星HNC-21数控系统。这是一种具有全功能的数控系统。华中世纪星HNC-21T数控系统采用先进的开放式体系结构,内置嵌入式工业PC,配置7.7/或10.4/彩色液品显示屏和通用工程面板,集成进给轴接口、主轴接口、手持单元接口、内嵌式PLC接口于一体,支持硬盘、电子盘等程序储方式以及软驱、DNC、以太网等程序交换功能、具有低价格、高性能、配置灵活、结构紧凑、易于使用、可靠性高的特点。 可选配各种类型的脉冲式(HSV-16系列全数字交流伺服驱动单元),模拟式交流伺服驱动单元或步进电机驱动单元以及HSV-11系列串行接口

12、伺服驱动单元。 目 录   字摘要I第1章 绪论 111数控技术国内的现状 11.1.1开放结构的发展11.1.2软件伺服驱动系统2 1.2数控技术发展趋势 4第2章 数控加工工艺和工艺分析82.1零件加工工艺过程分析 82.1.1零件图样分析  82.2零件加工工序分析102.3本章小结 16 第3章 数控加工程序17结论20参考文献21附录22致谢26   面向LED封装的XY二自由度的工作台的设计(含任务书,开题报告,论文说明书9000字,外文翻译,cad图纸) 摘要:现代LED封装技术的发展基于数控技术,数控技术和数控机床理所当然的成为制造业关注

13、的焦点。工作台作为被控对象,通过伺服电机实现精密定位,是数控机床不可或缺的部分。本文以设计面向LED封装的高速、高精密度工作台为目标,以机械动力学理论知识为基础,深入的研究了十字型高速、高精密度定位平台。完成的工作有伺服电机的选型和对工作台机械部件进行选型设计,其中机械部件的选型设计主要包括滚珠丝杠的选型、滚动导轨的选型、轴承和联轴器的选型及主要主要支撑部件的外形设计,确保工作台的定位精度为 ,重复定位精度为 0.015mm。关键字:工作台  滚珠丝杠副   直线滚动导轨副Two spends XY being geared to the needs of LED

14、 encapsulation to liberty working tableAbstract:The development of modern LED encapsulation technology is based on numerical control technology, the numerical control technology and CNC machine , as a matter of course has become the focus of attention of manufacturing industry. As the controlled obj

15、ect ,Workbench realize precision positioning through servo motoring, which is an integral part of the nc machine tools.      0.5*a*t1+Vmax*t3 -0.5a*t32 +Vmax*t2=400 计算得t1=t3=1.125 秒t2=2.25秒  a=0.27m/s2滚珠丝杆的最大转速为    Nmax= Vmax/ph=300/5=60 (转/秒)=3600(转/分) 企业竞标能力

16、的评价与提高对策研究(含选题审批表,任务书,开题报告,中期检查报告,毕业论文字,成绩评定册) 摘要:建筑工程项目招标与投标实际上就是一种选择行为,是有目的的择优劣汰的活动,也可以说是一种竞争的规范。随着市场经济的深入发展,对建筑企业来说,竞标已成为获得项目和求生存谋发展的主要手段和方式。随着市场经济的深入发展,对建筑企业来说,投标已成为获得项目和求生存谋发展的主要手段和方式。竞标竞争的胜负不仅取决于各竞标人的实力,也取决于竞标人所应用的竞标策略。文章从竞标单位自身、竞标竞争对手两个方面,针对建筑企业的竞标策略进行了分析.关键词:建筑企业;竞标能力;竞标策略;市场经济发展Enhancing th

17、e Competitiveness of Building Construction Enterprises Research of countermeasuresAbstract:Project itomes’s inritation and bid is one of behavior choices, activities of choosing the excellant and norm of competition.With the market’s economy developing thoroughly, as to Construction Ente

18、rprises, biding has belome ways of acquiring items and begging existance to survive and develop.The victory of bid is decided by enterprise’s enterprises strateyies and Strength.The artide analysises the bid strategies for building enterprises. Keyword: Construct a business enterprise;Bid abil

19、ity;Bidding Strategy;Market economy develops  发酵坛子肉软罐头食品加工技术研究(7800字)摘  要:本次实验研究自然发酵下坛子肉加工因素,找出发酵坛子肉在自然发酵过程中盐浓度、糖浓度、发酵时间和糯米粉添加量四大因素对肉发酵的影响规律,通过正交试验得出自然发酵条件下最佳配方为:盐浓度为1%,糖浓度为1%,糯米添加量为11%,发酵时间17天。达到提高民间生产发酵坛子肉过程中的技术性和科学性,保存完善传统生产流程的目的。关键词:发酵肉;腌制;正交试验:自然发酵;工艺发酵助剂Fermentation Jar Meat Processi

20、ng Technology of Soft Canned FoodAbstract: The experiments under study of natural fermentation jugs meat processing factors, find out in natural fermentation jars meat fermentation process of salt concentration, sugar concentration, fermentation time and glutinous rice powder additives for meat ferm

21、entation four factors influencing regularity of the obtained, by orthogonal experiment natural fermentation conditions the best formula for: salt concentration is 1%, sugar concentration is 1%, glutinous rice additives for 11%, fermentation time 17 days, normal temperature, to gain the enhancement o

22、f folk production in the process of fermented jars meat preserved perfect technical and scientific, the purpose of the traditional production process. Key words: Fermented meat ; Alted ; Orthogonal test ; Folk craft manufacture 内容简介 本工程占地面积约1200平方米左右,建筑面积5770.8平方米。建筑总高度21.15米,本建筑主体六层,底层层高3.9 m,其余各层层

23、高3.0m,室内外高差:0.45m。设计本着简洁,美观,大方,经济,适用的原则。建筑立面规整,采用白色瓷砖装饰外墙,女儿墙实心砖砌筑,除正门为玻璃门外,其余均采用实木门,窗为塑钢窗。本设计包括四部分:一,建筑设计部分;二,结构设计部分;三,结构计算部分;四,建筑图和结构图及施工部分。本设计地震设防烈度为7度,结构设计中考虑了水平地震作用,基本风压为0.50KN/,基本雪压为0.40KN/。 文件组成及目录 内容包括:Word计算书:第一章  结构方案设计第二章  荷载汇集第三章  内力分析第四章  风荷载第五章  竖向荷载内力分析第六章&nbs

24、p; 梁柱截面验算第七章  柱脚设计第八章  基础设计计算第九章  楼板计算第十章  节点设计第十一章 楼梯计算共60页。CAD图纸包括:总平面布置图、各层平面图、立面图、剖面图、结构设计总说明、基础及节点详图、楼梯详图、梁板布置图、基础平面布置图、建筑节点详图、一榀框架布置图、支撑布置及详图共16张图纸。摘要:现场总线控制系统(FCS)是工业控制的新一代控制体系,它的基础设备是各类总线式智能传感器和执行器。本项目主要研制以Pt100为传感头的,基于LonWorks现场总线平台的总线式智能温度传感器。总线式智能温度传感器工作电源为1030VDC,直接输出

25、工程量数字信号,数据格式采用LonWorks的标准网络变量,符合国际LonTalk协议,广泛适用于采用LonWorks现场总线的工业控制系统中。 2 设计思路首先,根据该系统需完成的控制任务,对被控对象的工艺过程、工作特点、控制系统的控制过程、控制规律、功能和特性进行详细分析。归纳出工作循环流程图,根据PLC的技术特点,与继电器控制系统和微机控制系统进行比较后加以选择。如果被控系统是工业环境较差、而安全性和可靠性要求较高、系统工艺流程复杂,用继电器控制系统难以实现,工艺流程经常变动或控制系统有扩充可能,用PLC进行控制是合适的。对确定的控制对象,还要明确控制任务和设计要求,并要明确划分控制的各

26、个阶段及各阶段的特点,阶段之间的转换条件,最后归纳出各执行元件的动作节拍表。PLC的根本任务就是正确实现这个节拍表。下面我就根据这个思路一步一步的做下去。3 系统的控制任务首先,这是全国职业院校技能大赛的其中一项比赛项目,做的只是莫模块化系统,概括了工业生产实际中大量复杂控制、加工、检测、安装等流程的专业综合实践平台。适用于机电类专业课程的 综合实践以及专业综合培训。下面就来讲一下该系统的控制任务,该系统主要包括以下三方面的控制要求:1)检测传送站主要是将工件的两种不同颜色(黑和蓝)分辨出来并提供给储藏站,同时提供给搬运站工件到位信号。检测传送站由两个气动执行部件一台直流电机和三个接近开关组成

27、,通过传送、检测、分辨将信号分别传送给储藏站和搬运站。 2)搬运站是将工件前一工作站上的工件抓起并输送到下一工作站。搬运站由不同的气动执行部件组成,通过摆动、伸缩、气动夹爪抓取等动作,将前一工作站上的工件抓起并传送到下一工作站。3)分类存储站是一个立体仓库存储的模拟。它将系统前站送来的工件或工件组合,放到不同的位置。当工件或工件组合送来时,分类存储站会读取工件或工件组合的信息,按照工件不同类别,进行分类立体存放。4 硬件设计与介绍根据系统的控制要求,此系统在硬件方面主要包括总电源开关(空气开关)一个、24V直流稳压电源一台、S7200整体式PLC一台、17HS101步进电机两台(其轴是螺距为4mm的丝杆)、WZM-2H042M型驱动器、西门子420变频器、各种气缸(其中包括双轴气缸和单轴气缸)共七个、电磁阀七个(一个三位五通阀控制气动马达的摆动方向、六个两位五通自复位阀分别控制退料,拦物,手臂前伸与后缩,手臂上升与下降,手指松开和夹紧,仓库到位推物气缸的方向)、电磁感应开关十二个(装在气缸上,用来判断气缸是否伸缩到位)、光电开关五个(NPN型,四个常开和一个常闭的)、行程开关六个(每个都有常开和常闭两对触点)和三个控制开关(一个常开的按钮来控制系统启动、一个常闭的红色按钮用来控制系统的停止和一个常开状态开关来用来在系统出现异常情况下复位)。 毕业设计说明书目录关键词-

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1