ImageVerifierCode 换一换
格式:DOCX , 页数:59 ,大小:42.66KB ,
资源ID:3931748      下载积分:12 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3931748.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(《数字系统设计》总复习题.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

《数字系统设计》总复习题.docx

1、数字系统设计总复习题数字系统设计复习题一、选择题1. 一个项目的输入输出端口是定义在 。A. 实体中 B. 结构体中C. 任何位置 D. 进程体2. 描述项目具有逻辑功能的是 。A. 实体 B. 结构体C. 配置 D. 进程3. 关键字ARCHITECTURE定义的是 。A. 结构体 B. 进程C. 实体 D. 配置4. MAXPLUSII中编译VHDL源程序时要求 。A. 文件名和实体可以不同名 B. 文件名和实体名无关C. 文件名和实体名要相同 D. 不确定5. 1987标准的VHDL语言对大小写是 。A. 敏感的 B. 只能用小写C. 只能用大写 D. 不敏感6. 关于1987标准的VH

2、DL语言中,标识符描述正确的是 。A. 必须以英文字母开头 B. 可以使用汉字开头C. 可以使用数字开头 D. 任何字符都可以7. 关于1987标准的VHDL语言中,标识符描述正确的是 。A. 下划线可以连用 B. 下划线不能连用C. 不能使用下划线 D. 可以使用任何字符8. 符合1987VHDL标准的标识符是 。A. A_2 B. A+2C. 2A D. 229. 符合1987VHDL标准的标识符是 。A. a_2_3 B. a_2C. 2_2_a D. 2a10. 不符合1987VHDL标准的标识符是 。A. a_1_in B. a_in_2C. 2_a D. asd_111. 不符合1

3、987VHDL标准的标识符是 。A. a2b2 B. a1b1C. ad12 D. %5012. VHDL语言中变量定义的位置是 。A. 实体中中任何位置 B. 实体中特定位置C. 结构体中任何位置 D. 结构体中特定位置13. VHDL语言中信号定义的位置是 。A. 实体中任何位置 B. 实体中特定位置C. 结构体中任何位置 D. 结构体中特定位置14. 变量是局部量可以写在 。A. 实体中 B. 进程中C. 线粒体 D. 种子体中15. 变量和信号的描述正确的是 。A. 变量赋值号是:= B. 信号赋值号是:=C. 变量赋值号是= D. 二者没有区别16. 变量和信号的描述正确的是 。A.

4、 变量可以带出进程 B. 信号可以带出进程C. 信号不能带出进程 D. 二者没有区别17. 关于VHDL数据类型,正确的是 。A. 数据类型不同不能进行运算 B. 数据类型相同才能进行运算C. 数据类型相同或相符就可以运算 D. 运算与数据类型无关18. 下面数据中属于实数的是 。A. 4.2 B. 3C. 1 D. “11011”19. 下面数据中属于位矢量的是 。A. 4.2 B. 3C. 1 D. “11011”20. 关于VHDL数据类型,正确的是 。A. 用户不能定义子类型 B. 用户可以定义子类型C. 用户可以定义任何类型的数据 D. 前面三个答案都是错误的21. 可以不必声明而直

5、接引用的数据类型是 。A. STD_LOGIC B. STD_LOGIC_VECTORC. BIT D. 前面三个答案都是错误的22. STD_LOGIG_1164中定义的高阻是字符 。A. X B. xC. z D. Z23. STD_LOGIG_1164中字符H定义的是 。A. 弱信号1 B. 弱信号0C. 没有这个定义 D. 初始值24. 使用STD_LOGIG_1164使用的数据类型时 。A. 可以直接调用 B. 必须在库和包集合中声明C. 必须在实体中声明 D. 必须在结构体中声明25. 关于转化函数正确的说法是 。A. 任何数据类型都可以通过转化函数相互转化 B. 只有特定类型的数

6、据类型可以转化C. 任何数据类型都不能转化 D. 前面说法都是错误的26. VHDL运算符优先级的说法正确的是 。A. 逻辑运算的优先级最高 B. 关系运算的优先级最高C. 逻辑运算的优先级最低 D. 关系运算的优先级最低27. VHDL运算符优先级的说法正确的是 。A. NOT的优先级最高 B. AND和NOT属于同一个优先级C. NOT的优先级最低 D. 前面的说法都是错误的28. VHDL运算符优先级的说法正确的是 。A. 括号不能改变优先级 B. 不能使用括号C. 括号的优先级最低 D. 括号可以改变优先级29. 如果a=1,b=0,则逻辑表达式(a AND b) OR( NOT b

7、AND a)的值是 。A. 0 B. 1 C. 2 D. 不确定30. 关于关系运算符的说法正确的是 。A. 不能进行关系运算 B. 关系运算和数据类型无关C. 关系运算数据类型要相同 D. 前面的说法都错误31. 转换函数TO_BITVECTOR(A)的功能是 。 A. 将STDLOGIC_VECTOR转换为BIT_VECTOR B. 将REAL转换为BIT_VECTORC. 将TIME转换为BIT_VECTOR D. 前面的说法都错误32. VHDL中顺序语句放置位置说法正确的是 。A. 可以放在进程语句中 B. 可以放在子程序中C. 不能放在任意位置 D. 前面的说法都正确33. 不属于

8、顺序语句的是 。A. IF语句 B. LOOP语句C. PROCESS语句 D. CASE语句34. 正确给变量X赋值的语句是 。A. X=A+B; B. X:=A+b;C. X=A+B; D. 前面的都不正确35. EDA的中文含义是 。A. 电子设计自动化 B. 计算机辅助计算C. 计算机辅助教学 D. 计算机辅助制造36. 可编程逻辑器件的英文简称是 。A. FPGA B. PLAC. PAL D. PLD37. 现场可编程门阵列的英文简称是 。A. FPGA B. PLAC. PAL D. PLD38. 基于下面技术的PLD器件中允许编程次数最多的是 。A. FLASH B. EERO

9、MC. SRAM D. PROM39. 在EDA中,ISP的中文含义是 。A. 网络供应商 B. 在系统编程C. 没有特定意义 D. 使用编程器烧写PLD芯片40. 在EDA中,IP的中文含义是 。A. 网络供应商 B. 在系统编程C. 没有特定意义 D. 知识产权核41. EPF10K20TC144-4具有多少个管脚 。A. 144个 B. 84个C. 15个 D. 不确定42. EPF10K20TC144-X器件,如果X的值越小表示 。A. 器件的工作频率越小 B. 器件的管脚越少C. 器件的延时越小 D. 器件的功耗越小43. 如果a=1,b=1,则逻辑表达式(a XOR b) OR(

10、NOT b AND a)的值是 。A. 0 B. 1 C. 2 D. 不确定44. 执行下列语句后Q的值等于 。SIGNAL E: STD_LOGIC_VECTOR (2 TO 5);SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2);E1, 4=0, OTHERS=1);QE (2), 4=E (3), 5=1, 7=E (5), OTHERS=E (4);A“11011011” B. “00101101” C. “11011001” D. “00101100”45. VHDL文本编辑中编译时出现如下的报错信息Error: VHDL syntax error: s

11、ignal declaration must have ;,but found begin instead. 其错误原因是 。A. 信号声明缺少分号。B. 错将设计文件存入了根目录,并将其设定成工程。C. 设计文件的文件名与实体名不一致。D. 程序中缺少关键词。46. VHDL文本编辑中编译时出现如下的报错信息Error: VHDL syntax error: choice value length must match selector expression_r value length其错误原因是 。A. 表达式宽度不匹配。B. 错将设计文件存入了根目录,并将其设定成工程。C. 设计文件的

12、文件名与实体名不一致。D. 程序中缺少关键词。47. MAX+PLUSII的设计文件不能直接保存在 。A 硬盘 B. 根目录 C. 文件夹 D. 工程目录48. MAXPLUS II是哪个公司的软件 。A. ALTERA B. ATMELC. LATTICE D. XILINX49. MAXPLUS II不支持的输入方式是 。A. 文本输入 B. 原理图输入C. 波形输入 D. 矢量输入50. MAXPLUSII中原理图的后缀是 。A. DOC B. GDFC. BMP D. JIF51. 在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值

13、语句是错误的。 。A.idata = “00001111”;B.idata = b”0000_1111”;C.idata = X”AB”D. idata = B”21”;52. 在VHDL语言中,下列对时钟边沿检测描述中,错误的是 。A.if clkevent and clk = 1 thenB.if falling_edge(clk) thenC.if clkevent and clk = 0 thenD.if clkstable and not clk = 1 then53. 下面对利用原理图输入设计方法进行数字电路系统设计的描述中,那一种说法是不正确的。 。A.原理图输入设计方法直观便捷

14、,但不适合完成较大规模的电路系统设计;B.原理图输入设计方法一般是一种自底向上的设计方法;C.原理图输入设计方法无法对电路进行功能描述;D.原理图输入设计方法也可进行层次化设计。54. 在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的。 。A.idata := 32;B.idata = 16#A0#;C.idata set project to current file B. assignpin/location chipC. nodeenter node from SNF D. filecreate default sym

15、bol61. 在EDA工具中,能将硬件描述语言转换为硬件电路的重要工具软件称为 。A.仿真器 B.综合器 C.适配器 D.下载器62. VHDL文本编辑中编译时出现如下的报错信息Error: Cant open VHDL “WORK” 其错误原因是 。A. 错将设计文件的后缀写成.tdf,而非.vhd 。B. 错将设计文件存入了根目录,并将其设定成工程。C. 设计文件的文件名与实体名不一致。D. 程序中缺少关键词。63. 在VHDL的CASE语句中,条件句中的“=”不是操作符号,它只相当于 作用。A. IF B. THEN C. AND D. OR64. 下面哪一条命令是MAXPLUSII软件

16、中引脚锁定的命令 。A fileset project to current file Bnodeenter node from SNFC assignpin/location chip D filecreate default symbol65. 下列关于信号的说法不正确的是 。A . 信号相当于器件内部的一个数据暂存节点。B. 信号的端口模式不必定义,它的数据既可以流进,也可以流出。C. 在同一进程中,对一个信号多次赋值,其结果只有第一次赋值起作用。D. 信号在整个结构体内的任何地方都能适用。66. 下面哪一个可以用作VHDL中的合法的实体名 。A. OR B. VARIABLE C. S

17、IGNAL D. OUT167. VHDL文本编辑中编译时出现如下的报错信息Error: Line1,File e:muxfilemux21.tdf: TDF syntax error 其错误原因是 。A. 错将设计文件的后缀写成.tdf 而非.vhd 。B. 错将设计文件存入了根目录,并将其设定成工程。C. 设计文件的文件名与实体名不一致。D. 程序中缺少关键词。68. 下列关于变量的说法正确的是 。A. 变量是一个局部量,它只能在进程和子程序中使用。B. 变量的赋值不是立即发生的,它需要有一个延时。C. 在进程的敏感信号表中,既可以使用信号,也可以使用变量。D. 变量赋值的一般表达式为:目

18、标变量名NULL;语句。C. CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现 。D. CASE语句执行必须选中,且只能选中所列条件语句中的一条。70. VHDL中,为目标变量赋值符号是 。A. =: B. = C. = D.:=71. 在VHDL中,可以用语句 表示检测clock下降沿。A. clock event B. clock event and clock=1C. clock=0 D. clock event and clock=072.在VHDL的FOR_LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部量, 事先声明。A. 必须 B. 不必 C

19、. 其类型要 D.其属性要73. 在VHDL中,语句”FOR I IN 0 TO 7 LOOP ”定义循环次数为 次。A. 8 B. 7 C. 0 D.174. 在VHDL中,PROCESS结构内部是由 语句组成的。A. 顺序 B. 顺序和并行 C. 并行 D.任何75. 执行MAX+PLUSII的 命令,可以对设计的电路进行仿真。A.Creat Default Symbol B.Compiler C.Simulator D.Programmer76. 在VHDL中,PROCESS本身是 语句。A. 顺序 B.顺序和并行 C.并行 D.任何77. 下面哪一个是VHDL中的波形编辑文件的后缀名 。A. gdf B. scf C. sys D. tdf78. 在元件例化语句中,用 符号实现名称映射,将例化元件端口声明语句中的信号与PORT MAP()中的信号名关联起来。A. = B. := C. 79.在VHDL中,含WAIT语句的进程PROCESS的括弧中 再加敏感信号,否则则是非法的。A. 可以B.不能 C. 必须 D. 有时可以80.在MAX+PLUSII集成环境下为图形文件产生一个元件符号的主要作用是

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1