ImageVerifierCode 换一换
格式:DOCX , 页数:25 ,大小:1.24MB ,
资源ID:3903353      下载积分:2 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3903353.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA实验报告推荐文档.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA实验报告推荐文档.docx

1、EDA实验报告推荐文档电子设计自动化实验报告电子设计自动化实验报告实验1 4选1数据选择器的设计1.1 实验目的1学习 EDA软件的基本操作。2学习使用原理图进行设计输入。3初步掌握器件设计输入、编译、仿真和编程的过程。4学习实验开发系统的使用方法。1.2 实验仪器与器材1EDA开发软件 一套2微机 一台3实验开发系统 一台1.3 实验说明本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的基本过程。实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。本实验使用Quartus软件作

2、为设计工具,要求熟悉或Quartus软件的使用环境和基本操作,如设计输入、编译和适配的过程等。实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。学会管脚锁定以及编程下载的方法等。1.4 实验要求1完成4选1数据选择器的原理图输入并进行编译;2对设计的电路进行仿真验证;3编程下载并在实验开发系统上验证设计结果。1.5 实验报告要求1画出编译通过后的原理图;2绘出仿真波形图;3. 管脚分配情况。1.6实验报告内容:1、编译通过后的原理图:2、仿真波形图:在实验室遇到波形的功能仿真报错问题,解决问题后在自

3、己电脑上仿真波形如上。3、管脚分配情况列表:d0:SW2 PIN_P25d1:SW3 PIN_AE14d2:SW4 PIN_AF14d3:SW5 PIN_AD13o:LEDR0 PIN_AE23s0:SW0 PIN_N25s1:SW1 PIN_N264、生成符号图:实验2 四位比较器2.1 实验目的1.设计四位二进制码比较器,并在实验开发系统上验证。2. 学习文本输入HDL文件的设计方法。2.2 实验仪器与器材1EDA开发软件 一套2微机 一台3实验开发系统 一台4打印机 一台2.3 实验说明本实验实现两个4位二进制码的比较器(功能框图如右图),输入为两个4位二进制码A3 A2 A1 A0 和

4、B3 B2 B1 B0, 输出为M(A=B),G(AB)和L(Acomb2) then G=1; M=0; L=0; elsif(comb1comb2) then M=0; G=0; L=1; else L=0; G=0; M=1;end if;end process p1;end behave;编译:2、 功能仿真波形:3、 管脚分配情况:A3:SW7 PIN_C13A2:SW6 PIN_AC13A1:SW5 PIN_AD13A0:SW4 PIN_AF14B3:SW3 PIN_AE14B2:SW2 PIN_P25B1:SW1 PIN_N26B0:SW0 PIN_N25G:LEDR2 PIN_

5、AB21M:LEDR1 PIN_AF23L:LEDR0 PIN_AE23 实验3 并行加法器设计3.1 实验目的 1设计一个4位加法器。 2体会用HDL进行逻辑描述的优点。3.2 实验仪器与器材1EDA开发软件 一套2微机 一台3实验开发系统 一台4打印机 一台5其他器件与材料 若干3.3 实验说明本实验实现一个4位二进制数加法器,其功能框图如图8.2所示。实验时用高低电平开关作为输入,用数码管作为输出(或用发光二极管),管脚锁定可根据实验系统自行安排。 图8.2 全加器功能框图3.4 实验要求用硬件描述语言编写4位二进制数全加器的源文件; 对设计文件进行编译;仿真设计文件。编程下载并进行实验

6、验证。3.5 实验报告要求1写出全加器的HDL源文件;2. 写出仿真和硬件测试结果;3. 管脚分配情况。3.6实验报告内容:1、全加器的VHDL源文件:library ieee;use ieee.std_logic_1164.all;entity adder4 is port(a,b: in std_logic_vector(3 downto 0); cin: in std_logic_vector(3 downto 0); sum: out std_logic_vector(3 downto 0); count: out std_logic);end adder4;architecture

7、behave of adder4 isbeginp1:process(a,b,cin) variable vsum: std_logic_vector(3 downto 0); variable carry: std_logic; begincarry:=cin(0);for i in 0 to 3 loop vsum(i):=(a(i) xor b(i) xor carry; carry:=(a(i) and b(i) or (carry and (a(i) or b(i);end loop;sum=vsum;count=carry; end process p1;end behave;编译

8、:2.、功能仿真波形和硬件测试结果:硬件测试: 硬件测试正常,实现全加器逻辑。4、 管脚分配情况:a3:SW7 PIN_C13a2:SW6 PIN_AC13a1:SW5 PIN_AD13a0:SW4 PIN_AF14b3:SW3 PIN_AE14b2:SW2 PIN_P25b1:SW1 PIN_N26b0:SW0 PIN_N25sum3:LEDR3 PIN_AC22sum2:LEDR2 PIN_AB21sum1:LEDR1 PIN_AF23sum0:LEDR0 PIN_AE23cin:SW17 PIN_V2count:LEDG7 PIN_Y18实验4 计数器设计4.1 实验目的计数器是实际中最

9、为常用的时序电路模块之一,本实验的主要目的是掌握使用HDL描述计数器类型模块的基本方法。4.2 实验仪器与器材1EDA开发软件 一套2微机 一台3实验开发系统 一台4打印机 一台5其他器件与材料 若干4.3 实验说明计数器是数字电路系统中最基本的功能模块之一,设计时可以采用原理图或HDL语言完成。下载验证时的计数时钟可选用连续或单脉冲,并用数码管显示计数值。4.4 实验要求1设计一个带有计数允许输入端、复位输入端和进位输出端的10进制计数器。2编制仿真测试文件,并进行功能仿真。3下载并验证计数器功能。4为上述设计建立元件符号。5. 选做:在上述基础上设计按8421BCD码计数的100进制同步计

10、数器。4.5 实验报告要求1画出原理图或写出 HDL语言源文件。2打印仿真波形。3管脚分配情况。4.6实验报告内容:1、VHDL语言描述:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity my_count isport(en,reset,clk:in std_logic; q:buffer std_logic_vector(3 downto 0); co:out std_logic);end my_count;architecture behave of my_count isbegi

11、nprocess(clk,en) begin if clkevent and clk=1 then if reset=1 then q=0000; elsif en=1 then if q1001 then q=q+1; else q=0000; end if; end if; end if; end process; cooutsignoutsignoutsignoutsignoutsignoutsignoutsignoutsignoutsignoutsignoutsign=1111111; end case; end process; end behave ;1、编译:2、仿真:十进制计数

12、器:1、原理图:2、仿真波形:3、管脚分配情况:clk KEY2 PIN_P23en SW1 PIN_N26reset SW0 PIN_N25 co LEDG7 PIN_Y18outsign 0 HEX00 PIN_AF10outsign 1 HEX01 PIN_AB12outsign 2 HEX02 PIN_AC12outsign 3 HEX03 PIN_AD11outsign 4 HEX04 PIN_AE11outsign 5 HEX05 PIN_V14outsign 6 HEX06 PIN_V134、生成符号图:百进制计数器:1、 原理图:2、 仿真波形:3、 管脚分配情况:clk KE

13、Y2 PIN_P23en SW1 PIN_N26reset SW0 PIN_N25ql0 HEX00 PIN_AF10ql1 HEX01 PIN_AB12ql2 HEX02 PIN_AC12ql3 HEX03 PIN_AD11ql4 HEX04 PIN_AE11ql5 HEX05 PIN_V14ql6 HEX06 PIN_V13qh0 HEX10 PIN_V20qh1 HEX11 PIN_V21qh2 HEX12 PIN_W21qh3 HEX13 PIN_Y22qh4 HEX14 PIN_AA24qh5 HEX15 PIN_AA23qh6 HEX16 PIN_AB24co LEDG7 PIN_

14、Y184、生成符号图:实验5 巴克码发生器5.1 实验目的1 实现一个在通信领域中经常使用的巴克码发生器。2 掌握用大规模可编程逻辑器件实现时序电路的方法。5.2 实验仪器与器材1EDA开发软件 一套2微机 一台3实验开发系统 一台4打印机 一台5其他器件与材料 若干5.3 实验说明巴克码发生器在数据通信、雷达和遥控领域有相当广泛的应用。它能自动产生周期性的序列码。本实验要求产生的序列码信号为1110010,可以用寄存器或同步时序电路实现。为了能够通过实验开发系统验证实验结果,可以使用两个输出端,其中一个输出端同时输出巴克码,另一个输出端输出节拍。巴克码发生器的功能框图见图8.4所示。5.4

15、实验要求1 写出全部设计文件。2 编写测试向量,进行功能仿真。3. 下载并用实验板验证。5.5 实验报告要求1 写出全部设计文件。2 图8.4 巴克码发生器示意图打印仿真报告和波形。3 管脚分配情况。5.6实验报告内容:在实验中我使用VHDL语言描述来实现巴克马发生器的功能。1、巴克码发生器VHDL源文件:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity back is port(clk,reset:in std_logic;

16、dout1,dout2:out std_logic);end back;architecture behave of back is signal count7:integer range 0 to 6; begin process(clk,reset) begin if reset=1 then count7=0; elsif clkevent and clk=1 then if count76 then count7=count7+1; else count7=0; end if; end if; dout2dout1dout1dout1dout1dout1dout1dout1dout1o

17、utsignoutsignoutsign=1111111; end case; end process; end behave ;仿真:巴克码发生器总连接图:总连接图仿真波形:3、管脚分配情况:clk:KEY2 PIN_P23reset:SW0 PIN_N25dout1 0 :HEX06 PIN_V13dout1 1 :HEX05 PIN_V14dout1 2 :HEX04 PIN_AE11dout1 3 :HEX03 PIN_AD11dout1 4 :HEX02 PIN_AC12dout1 5 :HEX01 PIN_AB12dout1 6 :HEX00 PIN_AF10dout2:LEDG7 PIN_Y184、生成符号图:

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1