ImageVerifierCode 换一换
格式:DOCX , 页数:50 ,大小:870.34KB ,
资源ID:3893691      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3893691.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(专用集成电路试验指导书gai.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

专用集成电路试验指导书gai.docx

1、专用集成电路试验指导书gai实验一 开发平台软件安装与认知实验实验性质:验证性 实验级别:必做开课单位:信息与通信工程学院通信工程系 学时:2学时一、实验目的:1、了解Xilinx ISE 9.2/Quartus II软件的功能。2、掌握Xilinx ISE 9.2/Quartus II的VHDL输入方法。3、掌握Xilinx ISE 9.2/Quartus II的原理图文件输入和元件库的调用方法。4、掌握Xilinx ISE 9.2/Quartus II软件元件的生成方法和调用方法。5、掌握Xilinx ISE 9.2/Quartus II编译、功能仿真和时序仿真。 6、掌握Xilinx I

2、SE 9.2/Quartus II原理图设计、管脚分配、综合与实现、数据流下载方法。7、了解所编电路器件资源的消耗情况。二、实验器材:计算机、Quartus II软件或xilinx ISE三、实验内容:1、 本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。2、 用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理

3、图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。四、实验步骤:1、三线八线译码器(LS74138)VHDL电路设计(1)、三线八线译码器(LS74138)的VHDL源程序的输入打开Xilinx ISE 9.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为 “Shiyan”,工程建立路径为“C:XilinxbinShiyan”,其中“顶层模块类型(Top-Level

4、Module Type)”为硬件描述语言(HDL),如图1所示。图1点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。各标签具体含义如下: 【Device Family】:定义所选芯片器件族 【Device】:定义器件名 【Package】:定义器件封装形式 【Speed Grade】:定义芯片工作速度等级 【Top-Level Module Type】:定义顶层模块类型 【Synthesis Tool】:定义综合工具 【Simulator】:定义仿真测试工具 【

5、Generated Simulation Language】:定义硬件描述语言针对本试验所用开发板我们选择 “CoolRunner2 CPLDs”系列的 “XC2C256-7PQ208”器件作为目标芯片进行仿真,如图2所示。图2图2的选项卡中“simulator”选项,如果选择xilinx自带的仿真器,则选择“ISE simulator”,如果选择第三方仿真软件,则如图所示。完成具体选择后点击【下一步】弹出如图3所示对话框,在该对话框内创建文件资源。图3打开【New Source】标签,弹出如图4所示对话框,在左侧方框中包含了用户可以创建的文件类型,包括以下内容: 【Schematic】:原理

6、图类型文件 【State Diagram】:状态图类型文件 【Test Bench Waveform】:波形类型测试文件 【User Document】:用户类型文件 【Verilog Module】:Verilog类型文件 【Verilog Test Fixture】:Verilog语言描述类型测试文件 【VHDL Library】:VHDL库文件 【VHDL Module】:VHDL类型模块文件 【VHDL Package】:VHDL类型文件封装库 【VHDL Test Bench】:VHDL语言描述类型测试文件图4在【File】标签下对话框内写入用户自定义的文件名称,标签【Locatio

7、r】下显示了新定义文件的创建路径,选中标签【Add to proje】前的对号标记,将新创建的文件74ls138添加到工程 “Shiyan”中。点击【下一步】,弹出如图5所示对话框,在此对话框中输入三线八线译码器(LS 74138)的的端口信息。图5点击【下一步】弹出【New Source Information】对话框,在该对话框内显示了新建文件的属性及信息,如图6所示。图6点击【完成】返回资源创建对话框,图7点击【完成】标签结束新建工程过程。进入Xilinx ISE文本编辑方式,在文本框中编辑输入3线8线译码器的VHDL源程序,如图7所示:library IEEE;use IEEE.STD

8、_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;- Uncomment the following lines to use the declarations that are- provided for instantiating Xilinx primitive components.-library UNISIM;-use UNISIM.VComponents.all;entity ls74138 is Port ( g1 : in std_logic; g2 : in std_lo

9、gic; inp : in std_logic_vector(2 downto 0); y : out std_logic_vector(7 downto 0);end ls74138;architecture Behavioral of ls74138 isbeginprocess(g1,g2,inp)begin if(g1 and g2)=1) then case inp is when 000=yyyyyyyyy=00000000; end case; else y G1, G2 = G2, INP = INP, Y = Y );- * Test Bench - User Defined

10、 Section *u1:PROCESS BEGIN G1=0; wait for 10 us; G1=1; wait for 90 us; G1=0; wait; END PROCESS u1;u2:PROCESS BEGIN G2=0; wait for 10 us; G2=1; wait for 90 us; G2=0; wait; END PROCESS u2;u3:PROCESS BEGIN INP=000; wait for 20 us; INP=001; wait for 10 us; INP=010; wait for 10 us; INP=011; wait for 10 u

11、s; INP=100; wait for 10 us; INP=101; wait for 10 us; INP=110; wait for 10 us; INP=111; wait; end PROCESS u3;- * End Test Bench - User Defined Section *END behavior ;(4)芯片管脚定义如前所述添加用户定义限制文件,如图14所示,运行【Assign Package Pins】选项卡,Xilinx ISE将弹出管脚分配窗口,在如图15,输入各个端口管脚位置并保存,完成芯片管脚定义。图14图15 (5)编译与综合图16 图17运行【Pro

12、cess for Source】中的【Implement Design】(图16),ISE将自动完成编译并调用内嵌的综合工具XST完成综合过程,运行结果如图17所示。编译通过后即自动生成了电路烧录下载文件(*.jed)以及资源消耗报告,通过该报告即可了解所设计电路的资源消耗情况。即设计中使用了几个宏单元(Macrocells),几个乘积项(Pterms),几个寄存器单元(Registers),几个用户引脚(Pins)及几个功能输入块(Function Block)。(6)编程下载本试验用并行电缆将开发实验平台与计算机接在一起。确认下载电缆连接无误后执行Xilinx ISE的【iMPACT】命令

13、,将三线八线译码器(LS74138)设计文件下载到目标芯片XC2C256-7PQ208中,硬件验证所设计电路功能。2、元件的生成、调用和仿真如图19所示,选择“create schematic symbol”双击,将新建立的实体ls74138生成元件,放在库中;新建原理图文件,命名为 “Sch_LS74138”并添加到工程“Shiyan”中,如图20。点击【下一步】完成原理图文件的创建。在弹出的原理图编辑框内选择【Symbols】标签,在其目录列表内显示了所有可用电路器件,其中包括了我们所设计的LS74138(图21)。 图19 图20 图21双击“ls74138”将其放置到原理图编辑区内。点

14、击为器件添加外围端口,如图22所示。图22将原理图文件保存后返回【Xilinx Project Navigator】平台,此时已经将程序所设计的器件“LS74138”配置给了原理图文件“sch_ls74138”,其余电路仿真、管脚配置、编译、综合以及电路下载等过程与文本设计方式一致,在此不在重复说明。五、预习与思考:思考:比较VHDL语言和原理图的设计方法,这两种设计各有哪些优缺点。实验二 组合逻辑电路的VHDL语言实现实验性质:验证性 实验级别:必做开课单位:信息与通信工程学院通信工程系 学时:2学时一、实验目的:1、掌握VHDL语言设计基本单元及其构成2、掌握用VHDL语言设计基本的组合逻

15、辑电路的方法。3、掌握VHDL语言的主要描述语句。二、实验器材:计算机、Quartus II软件或Xilinx ISE三、实验内容:以下三个内容选择两个完成(一)、用VHDL语言实现八位加法器的设计并实现功能仿真。(二)、用VHDL语言实现优先编码器的设计并实现功能仿真(三)、用VHDL语言实现四选一选择器的设计并实现功能仿真。四、实验步骤:(一)、用VHDL语言实现八位加法器的设计并实现功能仿真。1、完成1位全加器设计,在Xilinx ISE软件平台上完成设计电路的VHDL文本输入,编辑,编译,仿真,管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2

16、C256-7PQ208作为目标仿真芯片。2、用实验内容1所设计的全加器的VHDL文件生成一个adder的元件,在Xilinx ISE软件原理图设计平台上完成adder元件的调用,用原理图的方法设计一个8位二进制加法器,实现编译,仿真,管脚分配和编程下载等操作。原理:全加器是带进位信号的加法器,其逻辑表达式为: 。它的真值表如表1所示,其中和为加数与被加数,是输入的进位位信号,而是和数,是输出进位位信号。参考真值表,实现八位全加器的功能。表1 输入输出0000000110010100110110010101011100111111一)1位加法器的VHDL源程序参考如下:library IEEE;

17、use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;- Uncomment the following lines to use the declarations that are- provided for instantiating Xilinx primitive components.-library UNISIM;-use UNISIM.VComponents.all;entity adder is Port ( a : in std_logic; b : i

18、n std_logic; cin : in std_logic; sum : out std_logic; cout : out std_logic);end adder;architecture Behavioral of adder isbegin sum = (a xor b) xor cin; cout a, b = b, cin = cin, sum = sum, cout = cout ); u1: PROCESS BEGIN a=0; wait for 10 us; a=1; wait for 20 us; a=0; wait for 10 us; a=1; wait for 1

19、0 us; a=0; wait for 20 us; a=1; wait for 10 us; a=0; wait for 10 us; a=1; wait; END PROCESS u1; u2:process begin b=1; wait for 10 us; b=0; wait for 10 us; b=1; wait for 10 us; b=0; wait for 10 us; b=1; wait for 20 us; b=0; wait for 10 us; b=1; wait for 10 us; b=0; wait for 20 us; b=1; wait; END PROC

20、ESS u2; u3: process begin cin=0; wait for 40 us; cin=1; wait for 20 us; cin=0; wait; end process u3;END behavior;仿真图如下图1所示: 图 1管脚分配和编程下载如实验一,这里不再累述。二)用实验内容1所设计的全加器的VHDL文件生成一个adder的元件,在Xilinx ISE软件原理图设计平台上完成adder元件的调用,用原理图的方法设计一个8位二进制加法器:步骤一)在processer for Source:”adder”窗口点击Create Schematic Symbol如下图

21、2所示,生成adder的元件,在Sources in Project 窗口新建Schematic 文件 命名adder8 ,如下图3所示,在Xilinx ECS平台上点Symbols如图4所示,添加8个adder到原理图编辑区域,连接各元件并添加引脚,如图5 图 2 图 3 图 4图 5仿真结果如下图:(二)、用VHDL语言实现优先编码器的设计并实现功能仿真优先计编码器常用于中断的优先级控制,以8位输入,3位二进制输出的优先级编码器为例,当其中一个输入有效时,就可以输出一个对应得3位二进制 编码。另外,当同时有几个输入有效时,将输出优先级最高的那个输入所对应得二进制编码。其真值表如下所示:表2 优先编码器真值表输入二进制编码输出Input7Input6Input5Input4Input3Input2Input1Input0Y2Y1Y0xxxxxxx0111xxxxxx01110xxxxx011101xxxx01

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1