ImageVerifierCode 换一换
格式:DOCX , 页数:16 ,大小:514.90KB ,
资源ID:3889912      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3889912.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字电路课程设计病房呼叫系统课程设计说明书.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

数字电路课程设计病房呼叫系统课程设计说明书.docx

1、数字电路课程设计病房呼叫系统课程设计说明书数字电子技术 课 程设计数字电子技术 课程设计任务书一、设计题目、内容及要求设计题目:优先病房呼叫器设计设计内容:某医院有7间病房,每间病房门口设有呼叫显示灯,室内设有紧急呼叫开关,同时 在护士值班室设有一个数码显示管,可对应显示病房的呼叫号码。设计要求:分析并设计应用电路,实现相应功能。用Multisim进行电路仿真。 撰写课程设计说明书。二、 设计原始资料Multisim仿真软件、课件。三、 要求的设计成果(课程设计说明书、设计实物、图纸等) 课程设计说明书、仿真电路图。四、 进程安排周1讲解整个设计要实现的功能,查阅相关资料,进行理论分析; 周2

2、、3进行仿真并调试;周4撰写课程设计任务书;周5答辩并提交设计说明书。五、主要参考资料1刘全忠,刘艳莉电子技术(第三版)北京:高等教育出版社, 20032阎石数字电子技术基础(第五版)北京:高等教育出版社, 20063NI-Multisim 10 经典教程指导教师(签名): 教研室主任(签名):课程设计成绩评定表出勤情况出勤天数缺勤天数成绩 评 疋出勤及设计过程表现(20分)课设答辩(20分)电路仿真(30分)说明书(30分)总成绩(100分)提问(答辩)问题情况1.简述系统的工作原理2.74LS148 (或147)中的优先级是哪儿个?3.数码管的内部接法是共阴极还是共阳极?综合 评 疋指导教

3、师签名:年 月 日第 1 章 概述 2.第 2 章 系统设计 2.2.1方案论证 22.2系统设计 32.2.1结构框图及说明 32.2.2系统原理图及工作原理 32.3 单元电路设计 42.3.1单元电路工作原理 42.3.2元件参数选择 9第 3 章 软件仿真 6.3.1仿真过程 83.2仿真结果 9第 4 章 结论 1.6.4.1对于病房呼叫系统的仿真设计 16附录I 17附录n 18参考文献 1.8.收获、体会和建议 1.8.病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具, 可将病人的请求快速传送给值班医生或护士, 是提高医院和病房护理水平的必备 设备之一。 呼叫系统

4、的优劣直接关系到病员的安危, 历来受到各大医院的普遍重 视。它要求及时、准确、可靠、简便可行、利于推广。本设计采用主从结构, 基本运作方式为。 监控机构放置在医生值班室内, 当 病床有呼叫请求时进行报警,并在显示器上显示病床的位置。呼叫源(按钮)放 在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮相应床位 的指示灯。本设计分为四个主要功能模块: 呼叫显示模块, 优先选择模块, 译码显示模 块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用了 8 线一3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计 的小规模逻辑门电路, 7 段字形译码器 74

5、LS47D 和共阴极七段数码管来实现译 码显示模块主要功能。通过对病房呼叫系统电路的设计、 调试, 熟练掌握各种电子测量仪器、 仪表 的正确使用方法,熟悉掌握数字逻辑电路原理及各类型数字单元电路的工作原 理、电路形式、调试方法等方面知识;同时,通过对系统设计结果的理论分析, 加强理论联系实际的工作能力, 对加强数字逻辑电路原理与技术方法的掌握, 得 到全面的、系统的训练,为今后从事本专业工作奠定坚实的技术基础。第2章系统设计2.1方案论证病房呼叫系统的设计方法很多,可由多种类型电路来构成,这里数字逻辑电 路控制系统主要由各种逻辑元件构成, 包括计数器、触发器以及各种门电路,硬 件设计思路非常简

6、单,造价低廉,元件少,体积小,稳定性好,可靠性和性价比 都很高。缺点在于功能实现后电路结构复杂,维护起来比较困难。在本设计中,该电路具有价格低,元件少,体积小,稳定性好,可靠性高的 特点。2.2系统设计221结构框图及说明呼叫信号呼叫显示模块:对 应床位的提示灯亮 优先选择模块: 对信号进行优 先选择编码图3-1病房呼叫系统的系统结构示意图译码显示 模块:对 信号进行 译码并显 示病房号222工作原理工作原理:病房呼叫系统分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。 其中运用了 8线一3线优先编码器74LS148来实现优先

7、选择模块主要功能,其中运用自己 设计的小规模逻辑门电路,7段字形译码器74LS47D和共阴极七段数码管来实 现译码显示模块主要功能,运用 555定时器来实现呼叫模块。信号呼叫(1个至7个)由呼叫显示模块的各个呼叫开关发出并使对应的病 床指示灯亮起。接着,信号传到优先选择模块,将优先级别最高的患者的信号选 择出来,并传到呼叫模块与译码显示模块,同时译码显示模块通过小规模逻辑门 集成电路与74LS47D将信号变换成对应的患者床号,并由七段数码管显示出来。2.3单元电路设计2.3.1单元电路工作原理(1)呼叫显示模块的设计一个或者多个病人通过关闭其对应的呼叫开关, 来开启其支路的呼叫显示模块,进而开

8、启整个病房呼叫系统。显示模块采用一般开关驱动,高电平有效,并且每条支路加了限流电阻(133 欧姆)为了使得模块能正常工作。当一名或者多名病人闭合各自的呼叫开关时, 其对应的支路接通,并由初始 的高电平变为低电平电平,对应的支路显示灯会亮起,并且同时将有效信号传到 下一个功能模块一优先选择模块。(2)优先选择模块设计根据设计要求,数码管要显示优先级别最高病房的呼叫信号, 所以我们要对 病房呼叫信号进行优先选择并输出。在本设计中, 7号病床为优先级最高,然后 依次是5,4,3,2,1 号病床。在这里,应用了 8线-3线优先编码器(74LS148)进行此功能的实现。其输 入为低电平有效,输出编码为反

9、码形式。8线-3线优先编码器(74LS148)功能表如下所示:表3.1 8线-3线优先编码器(74LS148)功能表输入输出ElI 0I 1 I 2 I 3I 4I 5I 6I 7A2AiAoGSEO1xxx xxxxx11111除此之外,根据使能输出端E0的特性:当使能输入端EI为0时并且74LS148 无有效信号输入时,E0输出为0;当使能输入端EI为0时并且74LS148为有效 信号输入时,EO输出为1。利用EO端的输出来控制数码显示电路的启动与熄灭 复位,以及555定时器的启动与复位。8线-3线优先编码器(74LS148)将输入的低电平有效信号进行优先选择, 并且将选择出的信号传到译码

10、显示模块, 并且将EO端(由)的输出信号传到555 定时器(来启动呼叫模块)和七段字形译码器(74LS47D)来启动数码管显示电 路。下图为优先选择模块的 电路图:U4A图3-5数据选择模块电路图(3)译码显示模块设计此模块由两小模块构成,即译码模块与数码管显示模块。先由译码模块将8线-3线优先编码器(74LS148)输出的三位二进制编码转 换成病床号所对应的BCD码,再将该BCD码输入到七段字形译码器(74LS47D) 并由七段阴极数码管显示病床号数字。其流程图如下:图3-6译码显示模块设计流程图译码模块设计因为优先选择模块输出的三位二进制编码不是所需的病床号码 (在BCD码上相差1),所以

11、要用译码将将8线-3线优先编码器(74LS148)输出的三位二进制 编码转换成病床号所对应的BCD码。模块译码模块是由基本逻辑门设计而成的小 规模逻辑门电路,于是得出输入输出真值转换表,如下:输入输出头札扎珂專石耳監打0XXXX11111111X1XXX111111111000001111111100011011111110010110111111001111101111101001111011110101111110111011011111101101111i111110表3.2输入输出真值转换表图3-7译码模块逻辑电路图b.数码管显示模块通过译码模块处理后的信号成为了与病床相对应的 BCD

12、码,此时将该 BCD码输入到数码管显示模块,将正确的呼叫床号显示出来。数码管显示模块由七段字形译码器 (74LS47D,共阴极七段数码管,以及一些逻 辑非门和限流电阻组成。其功能是将输入的BCD码以十进制数字的形式在七段数 码管上,测试模块如下图所示: 图 3-8 数码管显示模块功能电路图2.3.2 元件参数选择1电阻在本设计中,电阻的主要作用是限制电流大小,以保证电路的正常工作,所以要正确计算出所需电阻原件的大小。 应用前,电阻阻值要用数字三用表检测, 检查阻值是否正确。3 二极管二极管在本设计中的应用主要是发光二级管(LED,使用前必须串接相应的电阻进行加电测试,确认性能良好。第 3 章

13、软件仿真3.1 仿真电路图5V字-n昙=盟R1 le&iAAA- 74S04O_rL16 74S04OU5Alh1 74M4Dvcc vcc5VU212拄VCC4-1待机情况下的病房呼叫系统仿真电路U4AI 74S04DIfi 74S04D74SD4DU2H=74L543DVCC讥:右5VIVCCGhDZGMD74LS4SDc d e r5VLvccussnEIrHGMD斷 L6D1 愍喘脅500;. 附幅 ftLED3-VA-i-K- 皿anR6 皿 LEMLED7阳 5WL XXvccvcc图4-2工作情况下的病房呼叫系统仿真电路3.2 仿真过程1. 仿真方法介绍:(1)按照任务书上的要求

14、,结合题型的目的,画出相应的电路框图。根据 电路图选择器件连接电路。(2)设计各个模块的功能以及其详细的电路连接方法。(3)先在MULTISIM冲将各个模块连接到一起,注意检查各个部分是否连接 正确和连接端是否连接好。( 4)检查设计思路以及电路,按照设计框图原理,查看仿真电路与原理图 是否吻合。如果不吻合则需要就将其逐个问题解决, 直到所有问题都解决了为止。 反之就可以进行下一步。( 4 )单击运行按钮运行仿真。( 5 )根据仿真情况与课程设计任务对比,对于不能实现的任务修改并调试 程序,重新装载并重新运行调试仿真,直到实现能完全实现所要求的功能为止。(注意所选择的芯片参数是否正确, 测试看

15、看各管脚的电平是否符合理论计算上 达到的值, 同时观察各个集成器件的各个管脚的电平变化情况, 以及数码显示器 的显示是否正确。 )( 6)进一步改进和简化程序在进行调试仿真。2.具体的在MULTISIM7下检验病房呼叫系统的步骤如下:(1)首先触发一个病人信号,看数码管是否显示对应的病人编号;直到完 成了此功能,进行下一向调试。(2)向调试触发多个病人信号, 同样看数码管是否显示最优先的病人编号; 如能完成此功能那么它是正确的。(3)将最高级别呼叫开关断开后,系统按优先等级显示下一个优先级高的 病人编号。3. 另外本设计整体功能如下:( 1)当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号。

16、( 2)按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先 显示最高级别的呼救编号。3)当医护人员处理完最高级别呼救后,把该病房开关关闭,系统按优先等级显示下一个优先级高的病人编号3.3仿真结果在MULTISIM7勺仿真界面上可以看如下结果:(1)系统处于待机状态:显示灯与显示数码管全灭,呼叫模块已经复位。结果 如下如图所示:图4.3.1待机状态首先触发一个病人信号:3号,3号对应的发光二极管发光(红色),蜂鸣 器声音响,数码管显示对应的3号病人编号;完成了此功能。结果如下图 所示:图4.3.4 3 号床呼叫(3)向调试开启1, 5, 6, 7病人的呼叫信号,1,5,6 , 7号对应

17、的发光二极管 发光(红色)数码管显示对应的7号病人编号。完成了此功能。结果如下 图所示:图435 1 号,5号,6 , 7号床呼叫(4)将最高级别(7号)呼叫开关断开后,系统按优先等级显示下一个优先级高的病人编号(6号)。完成了此功能。结果如下结论4.1对于病房呼叫系统的仿真设计(1) 能实现的功能当有病人紧急呼叫时,产生声,光提示,并显示病人的编号;根据病人的病 情设计优先级别,当有多人呼叫时,病情严重者优先;医护人员处理完当前最高 级别的呼叫后,系统按优先级别显示其他呼叫病人的病号;拥有自动复位功能。(2) 本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码 显示模块,以及呼叫模

18、块。这些模块共同工作完成本电路的功能实现。(3)其中运用了 8线一3线优先编码器74LS148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路, 7段字形译码器74LS47D和共阴极七段数码管来实现译码显示模块主要功能,运用 555定时器来实现呼叫模块。(4)用较为简单的数字电路实现了一个具有实际应用背景的病房呼叫系统的设 计。附录I所用主要仪器见表1表7.1所用主要仪器名称元件型号/规格数量8线一3线优先编码器74LS1481片7段字形译码器74LS47D1片电阻500欧姆7个数码管7段共阴极1个LED (发光二极管)红7个开关7个非门74SOD3个仿真电路图附录口 vcc5V

19、参考文献:数字电子技术基础第四版电子技术实验与课程设计第三版数字电子技术基础教程注:部分资料参考网上相关内容。阎石主编咼等教育出版社毕满清 主编 机械工业出版社夏路易 主编 电子工业出版社收获、体会和建议通过这次实验设计,完成了病房呼叫系统的原理设计与仿真实现, 运用模块 化的设计思想将系统分为四大功能模块: 呼叫显示模块, 优先选择模块, 译码显 示模块, 以及呼叫模块。 这些模块共同工作完成本电路的功能实现。 还充分理解 了 74LS148 74LS47D共阴极数码管它们的性质功能。在这次实验中, 更熟悉了 Multisim7 仿真软件的使用, 知道了一些元件、 芯 片及各种开关的使用方法。 在设计过程, 经常会遇到这样的情况, 就是设计时认 为这样的接法可以行得通, 但实际模拟仿真时, 总是实现不了。 所以为了想出恰 当正确的连接方法,重新认真的回顾教材,寻找灵感。其实做课程设计同时也是对课本知识的巩固和加强, 平时只停留在书面学习 是不够的。 当动手实践做课程设计时, 很多意想不到的问题就会出现。 但是随着 亲自动手将问题解决后,发现对于数字电子的知识理解又上了一层。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1