ImageVerifierCode 换一换
格式:DOCX , 页数:60 ,大小:615.09KB ,
资源ID:3723124      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3723124.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(粮库温湿度智能监控系统的设计.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

粮库温湿度智能监控系统的设计.docx

1、粮库温湿度智能监控系统的设计粮库温度智能节点设计摘要随着时代的进步和发展,基于单片机温度测控系统在生活工作中的各领域得到广泛应用,粮库温度智能节点的设计就是一个典型的例子,随着人们对它的要求越来越高,一切向着数字化控制,智能化控制方向发展。系统将介绍一种基于单片机控制的温度智能节点设计,主要从软件两方面介绍了单片机温度控制系统的设计, 并对硬件设计原理作了简洁的描述。系统设计控制器使用单片机AT89C51,测温传感器使用DS18B20,用LCD1602液晶显示屏实现温度显示,能准确达到具有读数方便,测温范围广,测温准确的要求。同时应用MAX485进行串口通信,将测得的温度值上传给上位机,设计最

2、后给出了系统的附加功能即粮库的湿度测量,进一步改善了粮库的监测系统。关键词:单片机,DS18B20,液晶显示,串口通信Abstract As the era of progress and development, based on single-chip microcomputer temperature measurement and control system in the various fields of life work extensively, grain depot temperature intelligent node design is one example, as

3、 people on its demand is higher and higher, everything toward digital control system, intelligent control direction. System will introduce a based on single chip microcomputer control temperature intelligent node design, mainly from the two aspects of MCU software is introduced the design of tempera

4、ture control system, and the hardware design principle concise description. System design controller using single-chip microcomputer AT89C51, temperature sensor DS18B20, LCD1602 used in LCD realize temperature display, can accurately thus have readings convenient, temperature measuring range, temper

5、ature measurement precise requirements. Meanwhile, MAX485 for serial communication application temperature measured on the will to PC, the design of the system is given the additional features namely grain depot humidity sensor, further improve the monitoring system for grainKeyword: Microcontroller

6、, DS18B20, LCD display, serial communication 1 绪 论粮食是一个国家的生存的根本,为了防备战争,灾难及其他突发性事件,粮食的安全储藏具有重要意义。根据国家粮食保护法规,必须定时抽样检查粮库各点的温度,以便及时采取相应措施,防止粮食的变质,但大部分粮食目前还是采取人工测温的方法,这不仅使粮库工作人员工作量增大,且工作效率低,尤其是大型粮库的温度检测任务如不及时彻底完成,则有可能造成粮库大面积的变质,据有关资料统计,目前,我国各个地方及垦区的各种大型粮库都存在着不同程度的粮食存储变质问题,我国每年因粮食变质而损失的粮食达数亿斤,可见粮库监测系统的重要。

7、1.1 粮库控制技术的发展历程 原来的粮库粮食检测采取对各库粮食分区取样的人工方法,工作量大,可靠性差。近年来,随着计算机技术的发展和测量技术的提高,传统的人工查看粮温的方法,已逐步被电子检温设备所取代,小的储粮设备一般采用小型测温仪检测粮温,大中型储粮设备已逐步配备微机测温系统。前一种方式多数采用由拨动手动开关逐点查看粮温的方法,有些也采用自动巡检方式并配备小型打印机记录粮温数据。后一种方式则可在微机机房监测粮温情况,并能利用微机对粮温数据进行分析对比。随着单片机技术的不断发展,单片机在日用电子产品中的应用越来越广泛,广泛应用于冰箱、空调器、粮仓等日常生活中温度的测量和控制。传统的温控系统反

8、应速度慢、读数麻烦、测量精度不高、误差大等缺点,本设计用集成温度传感器DS18B20设计并制作了一款基于AT89C51的LCD1602显示器显示的数字温度节点设计,其电路简单,软硬件结构模块化,易于实现。1.2 粮库控制系统的构成一般的来说,自动控制系统可以由两种方式实现。一种是由PLC为核心构成,PLC在开关量控制方面具有较强优势,连接上位机又可弥补其数据管理和显示功能方面的不足,但是成本高;另一种是由单片机为核心,每一个粮库配备一台单片机作分机,用来测控各库的粮食温、湿度。各粮库的分机都受主控机控制,每一个粮食储备库都配备一台PC机,作为主控机,控制粮库内各粮库的粮情测控。由于单片机系统成

9、本低,灵活性大,因此在粮库监控系统中应用极为广泛。 粮库监控系统的构成大体上可以分为3部分:一是温度参数的测量转换,二是测量数据的传输,三是数据的集中显示与处理。下面对此三部分分别加以介绍。 1.2.1 粮库控制技术的发展历程粮库监控系统中温度测量是主要环节。温度传感器种类很多,主要分为模拟温度传感器和数字温度传感器。模拟温度传感器又有线性和非线性之分。不同的传感器有各自的特点。在粮温测量中常用的温度传感器有热敏电阻、PN传感器。在以往的粮库温度巡回检测系统中一般是以热敏电阻作为温度传感器。其电阻参数分散较大,除精心挑选外,还要在测量过程中对每一路传感器输出信号进行补偿校正。而PN结温度传感器

10、具有反应快、价格便宜等特点。正常工作时必须为恒流偏置,大约在200 u A电流左右。随着温度的变化,PN结两端的电压发生变化,因此在系统中只需测量PN结两端电压即可。PN结和热敏电阻都存在一些问题,需要选用高精度模拟开关和A/D转换器以提高精度,最后还要编制通道切换,A/D转换及数据修正程序。由此可见,传统的温度巡检系统无论是在系统设计阶段还是在安装调试阶段工作量都很大。最新数字式温度传感器DS18B20,可以在三根线上同时并联多个温度探测点,每个分机上可以连接多根电缆,每根电缆上可以并联几十个点,构成串行总线工作方式。而且由于芯片送出的温度信号是数字信号,因此简化了A/D转换,提高了测量效率

11、和精度。1.2.2 测量数据的传输在测得温度数据后,加以简单处理或不处理,然后将其传送给主控机。这之间的数据的可靠传送是粮库监控系统中另一个要解决的关键问题。 由于各库房距监控中心比较远,一般都有几十米甚至几百米,因此数据传输是一个远程多机通讯系统。由库房采集的数据在上传过程中往往易受干扰,干扰源主要有三个方面:一是现场用电设备产生的电磁干扰;二是电源线具有的50Hz工频干扰;三是各库房之间的公共接地阻抗产生的干扰。监控系统要求有一台PC机作为上位机,管理多台分机和进行数据集中显示与处理,而RS232通讯协议只允许点对点通讯,最大通讯距离15米,而且驱动器只允许有2500pF电容负载,通信距离

12、也将受此电容限制。将RS232转换成可以进行多点通讯的RS485方式是被广泛采用的一种通信方式。RS485通信具有带载能力强,传输距离远(可达1200m),功耗小,传输速率高(最高达1Mbps)等特点。此外,RS485收发器采用平衡发送和差分接收,因此具有很强的抑制共模干扰能力。但是485总线仍然只是一种常规的通信总线,它不能够做总线的自动仲裁,也就是不能够同时发送数据以避免总线竞争,所以整个系统的通信效率必然较低,数据的冗余量较大,对于速度要求高的应用场所不适宜用485总线。 在粮库监控系统中另一种被采用的通信方式是无线通信。无线数据传输对于在那些布线困难、环境恶劣的工业控制场所,不失是一种

13、行之有效的数据传输方法。它传输距离远,可以不受障碍物的影响,因此可以节省成本,使用上也比较灵活。但是由于无线信号是向空间开放的,因此也很容易受到干扰。1.2.3 温度的显示与处理粮库监控系统的使用最终是要面向用户的,因此用户监控软件的开发将决定系统能否方便高效的运行。对于中小型粮库监控系统,大都由系统的设计者来完成用户软件的设计任务。在粮情监控系统软件设计中有应用实例。系统上位机监控软件也可以采用max232来实现,接口美观,运行可靠。由于采用标准的图形用户接口,操作十分方便、直观。用户软件功能一般包括数据读取及显示,报警参数设置,历史曲线打印等。还有一些软件具有粮情分析决策功能。综上所述,粮

14、库监控系统的设计方法虽然不尽相同,但最终目的是安全可靠的运行,这在计算机技术飞速发展的今天己经变得很容易。目前,我国的很多国有粮库和地方粮库还是采用人工测温的方式进行管理,而采用分布式计算机粮库监控系统,可以大大提高粮库的现代化管理水平,在粮食保管方面每年节约大量人力物力,减少开支,减少粮食损失。随着粮库的现代化建设,作为粮库储粮自动监控系统,将具有很好的应用前景。1.3 课题需求提出的目的及研发的切实意义 本课题提出的目的是为了粮食的更好的管理,由于现在粮食均放在粮库存储中,粮食储存量很大,所以粮食的温度控制非常关键。本次课题利用数字温湿度传感器测量粮库的温度,在粮库中显示,便于控制和管理,

15、同时将温度利用串口通信传入PC机,便于上级管理和监测。研发的意义在于是对温度控制系统的完善,克服了传统的方法的费时费力、效率低,且测试的温度及湿度误差大,随机性大。因此我们需要一种造价低廉、使用方便且测量准确的温湿度测量仪。随着电子技术的发展,将组成CPU的部件集成在一块半导体芯片上,这个具有CPU功能的大规模集成电路芯片就称之为微处理器(MPU)。微处理器的出现,推动了微型计算机的发展,同时也引起了电子设计技术领域的探到变革电子技术专业人员,使之可以把微处理器部件像其他集成电路一样嵌入到电子系统中,使电子系统具有可编程序的智能化特点,开辟了计算机技术在电子技术领域应用的广阔大地。 将微处理器

16、、存储器、I/O电路集成到一块半导体芯片的技术再次推动了这种嵌入式技术的发展,单片微型计算机是这种设计技术中的一个典型代表。单片机适用于测量和控制领域,它以芯片形式嵌人到电子产品或系统中起到“电脑”作用,受到电子专业技术人员的青睐。单片机以其体积小、可靠性高、功能的专门化为特点。沿着与适用微处理器不同的方向发展。它的出现和发展,标志着单片嵌入技术已经成为电子系统设计的一个重要发展方向。1.4 粮库控制系统的研究内容整个系统从结构上可分为三层:由微型计算机构成上位机用户监控层,AT89C51单片机系统分别构成测温湿主机控制层和分机温度数据采集层。取粮库中各点作为数据的采样点,放置温度传感器DS1

17、8B20进行温度的采集,通过AT89C51控制进行温度采集,利用LCD1602进行温度显示。以AT89C4501作为从机的CPU进行控制,利用数字温湿度传感器SHT11进行测量温湿度,通过串行通信接口进行信号的传输,将信号传到上位机,上位机通过串行口与测温主机交换数据。测温主机与多台分机采用主从分布式结构。测温主机与上位机通过RS-232 总线连接,测温分机与主机通过RS-485 总线连接。一台主机最多可管理64 台分机,一台分机可以测试大约1000 个温度点。本设计适用于中小型粮库。2 温度智能节点的硬件介绍本章主要是了解设计中的系统功能原理及硬件组成。熟悉单片机AT89C51、传感器DS1

18、8B20、液晶显示屏LCD1602等器件的引脚功能和工作状态,以及对硬件图的掌握。2.1 系统硬件的总体设计2.1.1 系统硬件组成原理及框图该温度智能节点的设计利用DS18B20集成温度传感器及其接口电路完成温度的测量并对该信号转换,然后送到单片机AT89C51中进行处理变换,最后将温度值显示在LCD1602显示器上系统以AT89C51单片机为控制核心,加上DS18B20测温电路、液晶显示电路以及外围电源、时钟电路等组成。系统组成框图如图2-1所示。图2-1 系统组成框图在硬件上,DS18B20与单片机的连接有两种方法,一种是Vcc接外部电源,GND接地,I/O与单片机的I/O线相连;另一种

19、是用寄生电源供电,此时UDD、GND接地,I/O接单片机I/O。无论是内部寄生电源还是外部供电,I/O口线要接10K左右的上拉电阻。2.1.2 系统原理图,如附录1所示2.2 单片机 AT89C51功能介绍和原理2.2.1 单片机 AT89C51简介Atmel公司的生产的AT89C51是一个低电压,高性能CMOS 8位单片机,片内含2k bytes的可反复擦写的只读Flash程序存储器和128 bytes的随机存取数据存储器(RAM)。 AT89C51是一个功能强大的单片机,但它只有40个引脚,15个双向输入/输出(I/O)端口,其中P1是一个完整的8位双向I/O口,两个外中断口,两个16位可

20、编程定时计数器,两个全双向串行通信口,一个模拟比较放大器。同时AT89C51的时钟频率可以为零,即具备可用软件设置的睡眠省电功能,系统的唤醒方式有RAM、定时/计数器、串行口和外中断口,系统唤醒后即进入继续工作状态。芯片示图2-2如下图2-2 AT89C51芯片示图2.2.2 系统功能原理(1)兼容MCS51指令系统 (2)2k可反复擦写(1000次)Flash ROM(3)15个双向I/O口(4)6个中断源,两个外部中断源,两个串行中断(5)两个16位可编程定时/计数器(6)2.7-6V的宽工作电压范围,时钟频率0-24MHz(7)128x8bit内部RAM(8)可直接驱动LED,低功耗睡眠

21、功能,内置一个模拟比较放大器(9)可编程UARL通道,软件设置睡眠和唤醒功能2.3 温度传感器 DS18B20功能介绍现代化的检测手段能达到的精度、灵敏度及测量范围等,在很大程度上决定了科学技术的发展水平。同时,科学技术的发展达到的水平越高,又为检测技术、传感器技术提供了新的前提手段。目前的控制系统中传感器是它的重要组成部分,它的精度灵敏度基本决定了温度计的精度、测量范围、控制范围和用途等。传感器应用极其广泛,目前已经研制出多种新型传感器DS18B20温度传感器是美国DALLAS半导体公司最新推出的一种改进型智能温度传感器。2.3.1 DS18B20的内部结构DS18B20与传统的热敏电阻等测

22、温元件相比,它能直接读出被测温度,并且可根据实际要求通过简单的编程实现912位的数字值读数方式, DS18B20内部结构主要由四部分组成:64位光刻ROM、温度传感器、非挥发的温度报警触发器TH和TL、配置寄存器。内部结构图如2-3。1.1.1 图2-3内部结构图2.3.2 DS18B20主要特性(1) 一线通讯接口;(2) 电源范围:3.0V5.5V;(3) 测温范围:10+125;(4) 精度:10+85内为+0.5;(5) 可编程分辨率:912位;(6) 负压特性:电源极性接反时,温度计不会因发热而烧毁,但不能正常工作。2.3.3 DS18B20的测温原理DS18B20的测温原理是这样的

23、:器件中低温度系数晶振的振荡频率受温度的影响很小,用于产生固定频率的脉冲信号送给减法计数器1;高温度系数晶振随温度变化其振荡频率明显改变,所产生的信号作为减法计数器2的脉冲输入。器件中还有一个计数门,当计数门打开时,DS18B20就对低温度系数振荡器产生的时钟脉冲进行计数进而完成温度测量。计数门的开启时间由高温度系数振荡器来决定,每次测量前,首先将55所对应的一个基数分别置入减法计数器1、温度寄存器中,计数器1和温度寄存器被预置在55所对应的一个基数值。减法计数器1对低温度系数晶振产生的脉冲信号进行减法计数,当减法计数器1的预置值减到0时,温度寄存器的值将加1,减法计数器1的预置将重新被装入,

24、减法计数器1重新开始对低温度系数晶振产生的脉冲信号进行计数,如此循环直到减法计数器计数到0时,停止温度寄存器的累加,此时温度寄存器中的数值就是所测温度值。其输出用于修正减法计数器的预置值,只要计数器门仍未关闭就重复上述过程,直到温度寄存器值大致被测温度值。其部分温度对应值表如下表2-1。表2-1 部分温度对应值表温度数字输出(二进制)数字输出(16进制)+125+85+25.0625+10.125+0.50-0.5-10.125-25.0625-5500000111 1101000000000101 0101000000000001 1001000100000000 1010001000000

25、000 0000100000000000 0000000011111111 1111100011111111 0101111011111110 0110111111111100 1001000007D0H0550H0191H00A2H0008H0000HFFF8HFFE5HFF6FHFC90H2.4 液晶显示器LCD1602的功能介绍LCD1602采用标准的14脚(无背光)或16脚(带背光)接口,各引脚接口说明如表2-2所示:表2-2引脚接口说明表编号符号引脚说明编号符号引脚说明12345678VSSVDDVLRSR/WED0D1电源地电源正极液晶显示偏压数据/命令选择读/写选择使能信号数据数

26、据910111213141516D2D3D4D5D6D7BLABLK数据数据数据数据数据数据背光源正极背光源负极第1脚:VSS为地电源。第2脚:VDD接5V正电源。第3脚:VL为液晶显示器对比度调整端,接正电源时对比度最弱,接地时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度。第4脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。第5脚:R/W为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS和R/W共同为低电平时可以写入指令或者显示地址,当RS为低电平R/W为高电平时可以读忙信号,当RS为高电平R/W为低电平时可以写入数据。第

27、6脚:E端为使能端,当E端由高电平跳变成低电平时,液晶模块执行命令。第714脚:D0D7为8位双向数据线。第15脚:背光源正极。第16脚:背光源负极。2.5 MAX232的功能简介该产品是由德州仪器公司(TI)推出的一款兼容RS232标准的芯片。由于电脑串口rs232电平是-10v +10v,而一般的单片机应用系统的信号电压是ttl电平0 +5v,max232就是用来进行电平转换的,该器件包含2驱动器、2接收器和一个电压发生器电路提供TIA/EIA-232-F电平。该器件符合TIA/EIA-232-F标准,每一个接收器将TIA/EIA-232-F电平转换成5-V TTL/CMOS电平。每一个发

28、送器将TTL/CMOS电平转换成TIA/EIA-232-F电平。主要特点 (1)单5V电源工作(2) LinBiCMOSTM工艺技术(3) 两个驱动器及两个接收器(4) 30V输入电平(5)低电源电流:典型值是8mA(6)符合甚至优于ANSI标准 EIA/TIA-232-E及ITU推荐标准V.28(7)ESD保护大于MIL-STD-883(方 法3015)标准的2000V内部结构基本可分三个部分:第一部分是电荷泵电路。由1、2、3、4、5、6脚和4只电容构成。功能是产生+12v和-12v两个电源,提供给RS-232串口电平的需要。第二部分是数据转换通道。由7、8、9、10、11、12、13、1

29、4脚构成两个数据通道。其中13脚(R1IN)、12脚(R1OUT)、11脚(T1IN)、14脚(T1OUT)为第一数据通道。8脚(R2IN)、9脚(R2OUT)、10脚(T2IN)、7脚(T2OUT)为第二数据通道。TTL/CMOS数据从T1IN、T2IN输入转换成RS-232数据从T1OUT、T2OUT送到电脑DP9插头;DP9插头的RS-232数据从R1IN、R2IN输入转换成TTL/CMOS数据后从R1OUT、R2OUT输出。第三部分是供电。15脚DNG、16脚VCC(+5v)。2.6 统的子模块的硬件设计2.6.1 温度采集电路设计本设计采用AT89C51单片机作为主控制器,通过DS1

30、8B20来感测温度,由LCD1602液晶显示器来显示温度值。各电路的各模块按以下方式进行连接如下:(1) 主CPU的P3.4用于接温度传感器DS18B20,利用DS18B20的支持多点组网功能,多个DS18B20可以并联在唯一的三线上,实现组网多点测温。DS18B20与微处理器连接时仅需要一根口线即可实现与微处理器的双向通信。DS18B20采集温度数据后,由P3.4送入主CPU处理,并经液晶显示器LCD1602显示 (2) 主CPU采用AT89C51芯片,单片机的工作始终频率为12MHZ,这就决定了指令的运行时间,每一条的指令的时间可通过公式算出,通过指令的时间可以设定任意的各种所需要的延时程

31、序。部分硬件电路图如图2-5。 图2-5 温度采集模块2.6.2 显示电路的设计(1) 单片机可以可以利用数据总线与控制信号直接采用I/O设备访问形式控制液晶显示模块,对于液晶显示的具体情况应用软件进行设置。(2) 连接方法,主CPU域中的P1.0P1.7接到液晶显示器LCD1602的D0D7上,P3.5接LCD1602的RS,P3.6接R/W,P3.7接E。注意将单片机的晶振电路和复位电路接正确,电路图如2-6。 图2-6 显示模块2.6.3 通信电路的设计根据实际生活的需要,在粮库的各个地方安放有DS18B20温度传感器,对于新运进的粮食处设有温湿度传感器。本论文通过单片机的P3.0,P3.1串行口利用4052扩展两个485串行口,其中一个与PC机传递DS18B20采集的数据。用AT89C4051进行控制温湿度传感器采用SHT11,设计三个温湿度传感器进行温湿度检测,通过另一个一个485串口通信将所测数据上传到主单片机,通过主单片机传到终端PC机。串口扩展及终端如3-3。:图3-3 串口通信3 温度智能节点

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1