ImageVerifierCode 换一换
格式:DOCX , 页数:8 ,大小:275.04KB ,
资源ID:3681178      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3681178.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VGA彩条信号发生器.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

VGA彩条信号发生器.docx

1、VGA彩条信号发生器FPGA课程设计报告VGA彩条信号发生器 学 院: 班 级: 学 号: 姓 名: 完成日期:目录一设计课题背景.2二设计要求.2三设计原理.2四. 设计方案.3五. 电路图.5六. 结果图.5七. 实验心得.7附录.8一设计背景实验设计了基于VHDL描述的VGA显示控制器,通过FPGA控制CRT显示器显示色彩和图形,完成的功能包括横向和纵向彩色条幅的显示以及正方形色块。二设计要求1. 熟练掌握 VHDL语言和QuartusII 软件的使用。2. 理解状态机的工作原理和设计方法。3. 熟悉 VGA 接口协议规范。4.通过对VGA接口的显示控制设计,理解VGA接口的时序工作原理

2、。5. 利用 FPGA 实现 VGA 彩条信号发生器.6. 可以产生彩色横条, 彩色竖条信号, 彩色棋盘格信号.7. 由一个按键按照顺序选择不同模式的信号输出.3设计原理1、显示控制原理常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(Green Red Blue)基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进

3、行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。2、VGA时序信号计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R、G、B 三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT 显示器,信号被直接送到相应的处理电路,驱动控制显像管生成图像。1、VGA彩条信号产生 彩条信号产生模块包括了彩条模式控制、竖彩条发生、横彩条发生和棋盘格发生三个模块。彩条模式控制可以用一个控制端口来实现。竖彩条发生模块根据行点数器cc的计数值来产生彩条,横彩条发生模块根据列点数器LL 的计数值来产生彩条,棋盘格的彩条就可以用横彩条

4、和竖彩条异或来得到。四设计方案(一)系统结构框图图(一) VGA显示控制器系统结构框图 VGA显示控制器的输入是用户控制信息,如显示模式的切换命令、图形移动的控制命令等。控制器根据用户的输入信息,决定工作模式,这里定义为横彩模式、纵彩模式、棋盘模式。颜色信息的产生、显示器扫描同步信号等由VGA控制器产生,其输出为CRT显示器。(2)系统逻辑功能划分图(二) VGA显示控制器系统逻辑框图五电路图图(三)电路图六结果图图(四)横彩条图(五)纵彩条图(六)棋盘彩条七实验心得本实验在课编程技术的基础上,根据VGA原理,运用VHDL描述语言实现了VGA控制设计的方案。1.再设计的过程中,遇到了很多问题,

5、在设计之初通过查找大量资料,对VGA的原理有了初步的认识,但是在进行行列时序信号的计算时还是有一定的不理解。在指导老师的帮助下,了解了信号的产生。2.实验中我进一步熟悉了数字系统VHDL设计和仿真的流程,加深了对QuartusII软件的使用的理解。3.通过设计时序控制电路,和彩条产生电路,我更深入地理解了FPGA技术,即同过软件编程的方法来实现硬件时序和组合电路,加快了产品开发的速度,以满足不断变化的市场需求。最后我要感谢老师的指导和帮助,老师讲授知识重点突出,分析深刻,听老师的讲解有豁然开朗的感觉。还要感谢选题相同的班级同学和我探讨交流,大家一起激发思维的火花,讨论遇到的问题,互相促进,达到

6、了协作的良好效果。 附录源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY VGACD ISPORT(CLK,MD:IN STD_LOGIC; HS,VS,R,G,B:OUT STD_LOGIC); END VGACD ;ARCHITECTURE behav OF VGACD IS SIGNAL HS1,VS1,FCLK,CCLK: STD_LOGIC; SIGNAL MMD:STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL FS:STD_LOGIC_V

7、ECTOR(3 DOWNTO 0); SIGNAL CC:STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL LL:STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL GRBX:STD_LOGIC_VECTOR(3 DOWNTO 1); SIGNAL GRBY:STD_LOGIC_VECTOR(3 DOWNTO 1); SIGNAL GRBP:STD_LOGIC_VECTOR(3 DOWNTO 1); SIGNAL GRB:STD_LOGIC_VECTOR(3 DOWNTO 1); BEGINGRB(2)=(GRBP(2)XOR MD) AND HS

8、1 AND VS1; GRB(3)=(GRBP(3)XOR MD) AND HS1 AND VS1;GRB(1)=(GRBP(1)XOR MD) AND HS1 AND VS1; PROCESS(MD) BEGINIF MDEVENT AND MD=0 THEN IF MMD=10 THEN MMD=00; ELSE MMD=MMD+1; END IF; END IF;END PROCESS; PROCESS(MMD) BEGINIF MMD=00THEN GRBP=GRBX; ELSIF MMD=01THEN GRBP=GRBY;ELSIF MMD=10THEN GRBP=GRBX XOR

9、GRBY;ELSE GRBP=000;END IF;END PROCESS;PROCESS(CLK) BEGINIF CLKEVENT AND CLK =1 THEN IF FS = 12 THEN FS =0000; ELSE FS =(FS+1); END IF;END IF; END PROCESS; FCLK=FS(3); CCLK=CC(4); PROCESS(FCLK) BEGINIF FCLKEVENT AND FCLK =1 THEN IF CC=27 THEN CC=00000; ELSE CC=CC+1;END IF; END IF;END PROCESS; PROCESS

10、(CCLK) BEGINIF CCLKEVENT AND CCLK =0 THEN IF LL=450 THEN LL=000000000; ELSE LL24 THEN HS1 =0; ELSE HS1 399 THEN VS1=0; ELSE VS1=1; END IF; END PROCESS; PROCESS(CC,LL) BEGIN IF CC3 THEN GRBX =111; ELSIF CC6 THEN GRBX =110; ELSIF CC9 THEN GRBX =101; ELSIF CC12 THEN GRBX =100; ELSIF CC15 THEN GRBX =011

11、; ELSIF CC18 THEN GRBX =010; ELSIF CC21 THEN GRBX =001; ELSE GRBX=000; END IF; IF LL50 THEN GRBY =111; ELSIF LL100 THEN GRBY =110; ELSIF LL150 THEN GRBY =101; ELSIF LL200 THEN GRBY =100;ELSIF LL250 THEN GRBY =011;ELSIF LL300 THEN GRBY =010;ELSIF LL350 THEN GRBY =001;ELSE GRBY=000;END IF;END PROCESS;HS=HS1;VS=VS1;R=GRB(2);G=GRB(3);B=GRB(1);END behav;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1