ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:1.26MB ,
资源ID:3542149      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3542149.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(北京理工大学数字系统与设计实验报告.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

北京理工大学数字系统与设计实验报告.docx

1、北京理工大学数字系统与设计实验报告 本科实验报告实验名称: 数字系统设计与实验(软件部分) 课程名称:数字系统设计与实验(软件部分)实验时间:任课教师:实验地点:实验教师:实验类型: 原理验证 综合设计 自主创新学生姓名:学号/班级:组 号:学 院:同组搭档:专 业:成 绩:实验一 QuartusII 9.1软件的使用一、实验目的1、通过实现简单组合逻辑电路,掌握QUARTUSII 9.1软件的使用;2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII 9.1软件的使用。;二、实验内容1、3-8译码电路VHDL组合逻辑的设计A、3-8译码电路真值表输入输出D2D1D0Q

2、7Q6Q5Q4Q3Q2Q1Q00000000000100100000010010000001000110000100010000010000101001000001100100000011110000000B、功能仿真波形图:C、时序仿真波形图:D、VHDL代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity decoder3_8 isport(en:in std_logic; sel:in std_logic_vector(2

3、 downto 0); qout:out std_logic_vector(7 downto 0);end decoder3_8;architecture beha of decoder3_8 is signal sina_in:std_logic_vector(2 downto 0); signal sina_out:std_logic_vector(7 downto 0);begin sina_insina_outsina_outsina_outsina_outsina_outsina_outsina_outsina_outsina_out=00000000; end case; end

4、if; qout=sina_out;end process;end beha;2、共阳极七段译码器VHDL组合逻辑的设计A、共阳极七段译码器管脚分布及电路结构如下如所示:显示0时,a,b,c,d,e,f管脚接低电平,g管脚接高电平点亮的二极管会显示数字0 。如图所示:B功能仿真波形图:C时序仿真波形图:D、VHDL代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity seg7 isport(clk,load,en:in std_

5、logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0);end seg7;architecture beha of seg7 issignal qout:std_logic_vector(3 downto 0);signal q_temp:std_logic_vector(3 downto 0);begin process(clk,load) begin if(load=1)then q_temp=data_in; elsif(clkevent and clk=1)then if(

6、en=0)then qout=qout; elsif(qout=1001)then qout=0000; else qout=qout+1; end if; q_tempsegsegsegsegsegsegsegsegsegsegseg2-5-6-1-9-4-8-7-3-0的顺序输出;使用此输出作为驱动输入到7段译码器的显示逻辑。功能仿真结果:图 1总体仿真结果图2 二分频结果 图3 四分频结果图4 八分频结果图5 十六分频结果实验三 数字钟的设计与仿真一、实验目的通过设计实现四种频率可选的数字钟的设计与仿真,以熟悉VHDL语言编程。二、实验内容系统整体由分频器、多路选择器和计数器三个模块组成

7、。输入引脚有5根,分别为时钟(提供整个系统的时钟信号)、选择器输入Sel1、Sel0(选择不同的频率输入)、复位信号,以及置位信号。输出引脚有24根,分别为时个位hour_low(3 downto 0)和十位hour_high (3 downto 0)、分钟个位min_low(3 downto 0)和十位min_high (3 downto 0)、秒个位second_low(3 downto 0)和十位secondr_high (3 downto 0)。功能仿真结果如下图所示:图1 总体功能仿真图2 59秒跳变图3 9分59秒跳变图4 59分59秒跳变图5 9时59分59秒跳变图6 23时59

8、分59秒跳变【实验心得】本次实验比较难,设计的知识点比较多,开始的时候感觉难以下手,最初并不知道因为线路重叠要采取一些特殊的方法,把代码打上去结果程序不能运行成功,在仔细阅读使用手册后才发现这个问题,所以前面浪费了一些时间,总体来说,本次的所用到的模块基本在以前的试验中都有使用,问题的难点就在于,如何把这些代码结合起来而不出差错,在此,我又能体会到规范编程的重要性,实验中还有一个需要解决的问题就是数码管显示不稳定,会有闪烁的现象,请教了同学也查阅了资料才得以解决。最后能够把程序运行成功,自己也很开心,学到了很多知识,也锻炼了自己的综合编程能力。Welcome ToDownload !欢迎您的下载,资料仅供参考!

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1