ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:65.44KB ,
资源ID:3461465      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3461465.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA设计实验基于VHDL的电梯控制系统.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA设计实验基于VHDL的电梯控制系统.docx

1、EDA设计实验基于VHDL的电梯控制系统课程设计学生姓名: 学 号: 指导教师: 教师职称: 讲师 院 系: 班 级: 摘要:电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主知识产权和核心技术,自主品牌占市场的份额很少。随着社会需求的变化,电梯朝着节能、环保及智能化方向发展。EDA技术打破了软件和硬件间的壁垒,是计算机的软件技术与硬件实现、设计效率与产品能合二为一,它代表了电子技术和应用技术的发展方向。VHDL主要用于描述数字系统的接口,结构和功能,它的语法简单易懂,移植性好。本设计采用VHDL,源程序Al

2、tera公司的Quartus II软件仿真。通过寄存器储存电梯请求信息,检测电梯运行状态,控制电梯按要求运作。关键词:EDA VHDL 电梯控制系统设计要求:设计一个6层自动升降电梯控制电路,该控制器遵循方向优先原则完成6层楼的载客服务,同时指示电梯运行情况和电梯内外请求信息,具体要求如下:(1)每层电梯入口处设有上、下请求开关,电梯内设有乘客到达楼层的请求开关。 (2)设有电梯所处楼层指示和电梯运行模式(上升或下降)指示。(3)能记忆电梯内外的所有请求信号,并按照电梯运行规则次序响应,响应动作完成后清除请求信号。(4)能检验是否超载,并设有报警信号。(5)方向优先原则。当电梯处于上升模式时,

3、只响应比电梯所在位置高的上楼请求信息,由下而上逐个执行,直到最后一个上楼请求执行完毕,故更高层有下楼请求,则直接到有下楼请求的最高层接客,然后进入下降模式。电梯处于下降模式时,与上升模式相反。设计原理:电梯控制器通过乘客在电梯内外的请求信号控制上升或下降,而楼层信号由电梯本身的装置触发,从而确定电梯处在哪个楼层。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在的楼层数通过LED数码管显示,电梯门的状态分为开门,关闭,“00”表示关闭,“10”表示开启。其系统结构框图如图1 图1 系统结构框图电路符号如图2: 图2 电路符号CLK:时钟信号

4、输入端。full:超载信号输入端。alarm:超载报警信号输出端。clr:清除报警信号输入端。up1-up5:电梯外请求信号输入端。down2-down6:电梯外请求信号输入端。k1-k6:电梯内请求信号输入端。g1-g6:到达楼层信号输入端(由于实验板无传感器,所以手动控制拨码开关表示到大楼层信号)door1.0:电梯门控制信号输入端。led6.0:LED数码管显示控制输出端。ud:电梯状态显示输出端。up:控制电机向上转输出端。down:控制电机向下转输出端。设计方法:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_u

5、nsigned.all;use ieee.std_logic_arith.all;entity elevator isport(clk:in std_logic; full:in std_logic; clr:in std_logic; up1,up2,up3,up4,up5:in std_logic; down2,down3,down4,down5,down6:in std_logic; k1,k2,k3,k4,k5,k6:in std_logic; g1,g2,g3,g4,g5,g6:in std_logic; door:out std_logic_vector(1 downto 0);

6、led:out std_logic_vector(6 downto 0); ud:out std_logic; alarm:out std_logic; up,down:out std_logic);end elevator;architecture one of elevator issignal k11,k22,k33,k44,k55,k66:std_logic;signal up11,up22,up33,up44,up55:std_logic;signal down22,down33,down44,down55,down66:std_logic;signal kk,uu,dd,uu_dd

7、:std_logic_vector(5 downto 0);signal opendoor:std_logic;signal updown:std_logic;signal en_up,en_down:std_logic;signal a:std_logic;beginkk=k66 & k55 & k44 & k33 & k22 & k11;uu=0 & up55 & up44 & up33 & up22 & up11;dd=down66 & down55 & down44 & down33 & down22 & 0;uu_dd=kk or uu or dd;ud=updown;process

8、(clk)beginif clkevent and clk=1 then if k1=1 then k11=k1; elsif k2=1 then k22=k2; elsif k3=1 then k33=k3; elsif k4=1 then k44=k4; elsif k5=1 then k55=k5; elsif k6=1 then k66=k6; end if; if up1=1 then up11=up1; elsif up2=1 then up22=up2; elsif up3=1 then up33=up3; elsif up44=1 then up44=up4; elsif up

9、5=1 then up55=up5; end if; if down2=1 then down22=down2; elsif down3=1 then down33=down3; elsif down4=1 then down44=down4; elsif down5=1 then down55=down5; elsif down6=1 then down66=down6; end if; if clr=0 then alarm=0; a=1; elsif full=0 then alarm=1; door=10; else alarm=0; a=1; if a=1 then if opend

10、oor=1 then door=10; up=0; down=0; elsif en_up=1 then door=00; updown=1; up=1; down=0; elsif en_down=1 then door=00; updown=0; down=1; up=0; end if; end if;end if;-if g1=1 then led=0000110; if k11=1 or up11=1 then k11=0; up11=0; opendoor000001 then en_up=1; opendoor=0; elsif uu_dd=000000 then opendoo

11、r=0; end if;elsif g2=1 then led=1011011; if updown=1 then if k22=1 or up22=1 then k22=0; up22=0; opendoor000011 then en_up=1; opendoor=0; elsif uu_dd000010 and uu_dd/=000000 then en_down=1; opendoor=0; end if; else if k22=1 or down22=1 then k22=0; down22=0; opendoor=1; elsif uu_dd000010 and uu_dd/=0

12、00000 then en_down=1; opendoor000011 then en_up=1; opendoor=0; end if; end if; elsif g3=1 then led=1001111; if updown=1 then if k33=1 or up33=1 then k33=0; up33=0; opendoor000111 then en_up=1; opendoor=0; elsif uu_dd000100 and uu_dd/=000000 then en_down=1; opendoor=0; end if; else if k33=1 or down33

13、=1 then k33=0; down33=0; opendoor=1; elsif uu_dd000100 and uu_dd/=000000 then en_down=1; opendoor000111 then en_up=1; opendoor=0; end if; end if;-elsif g4=1 then led=1100110; if updown=1 then if k44=1 or up44=1 then k44=0; up44=0; opendoor001111 then en_up=1; opendoor=0; elsif uu_dd001000 and uu_dd/

14、=000000 then en_down=1; opendoor=0; end if; else if k44=1 or down44=1 then k44=0; down44=0; opendoor=1; elsif uu_dd001000 and uu_dd/=000000 then en_down=1; opendoor001111 then en_up=1; opendoor=0; end if; end if;elsif g5=1 then led=1101101; if updown=1 then if k55=1 or up55=1 then k55=0; up55=0; ope

15、ndoor011111 then en_up=1; opendoor=0; elsif uu_dd010000 and uu_dd/=000000 then en_down=1; opendoor=0; end if; else if k55=1 or down55=1 then k55=0; down55=0; opendoor=1; elsif uu_dd010000 and uu_dd/=000000 then en_down=1; opendoor011111 then en_up=1; opendoor=0; end if; end if; elsif g6=1 then led=1

16、111101; if k66=1 or down66=1 then k66=0; down66=0; opendoor=1; elsif uu_dd100000 and uu_dd/=000000 then en_down=1; opendoor=0; else en_up=0;en_down=0;up=0;updown=0; end if; end if; end if; if g6=1 then up=0;updown=0; end if; if g1=1 then down=0;updown=0; end if;end process;end one; 仿真结果: 图1 仿真图1 图1状

17、态为:当up1被按下后,电梯门开启,door置为“10,”乘客进入电梯,电梯检测到超重,full置0,alarm置1发出警报,直到电梯不超载为止,full置1,alarm置0。电梯内部乘客按下k5键(去五楼请求),电梯关门,door置为“00”,电机控制位up置“1”,电梯开始上升,与此同时,电梯状态指示ud置1,表示上升。传感器输入电梯所在楼层信号,用g1-g6表示,置1表示电梯所在楼层。在上升过程中,LED数码管显示电梯所在楼层数。到达五楼时,up置0,door置“10”。由于六楼有下降请求,电梯继续上升接客。接到客后,乘客按下k1,down置1,电梯下行,到达一楼卸客后,关门待命。实验体

18、会:在这次实课程设计中,我们虽然碰到了很多困难和问题,到最后还是靠自己的努力与坚持独立的完成了任务。当遇到了自己无法解决的困难与问题的时候,还请教老师给予指导和帮助。这次设计给我最深的印象就是扩大自己的知识面,了解更多与本专业有关的科技信息,与时代共同进步,才能在将来成为有用的科技人才,觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。参考文献:1黄正巾,徐坚等. CPLD系统设计与应用M.北京:电子工业出版社,2002,110123.2蒋璇,臧春花.数字系统设计与PLD应用

19、技术M.北京:电子工业出版社, 2001,2334.3孟宪元.可编程ASIC集成数字系统M.北京:电子工业出版社,2003,231243.4潘松,王国栋. VHDL实用教程(修订版)M.成都:成都电子科技大学出版社,2002,4587.5宋万杰,罗丰,吴顺君.CPLD技术及其应用M.西安:西安电子科技大学出版社,2005,2556.6王锁萍.电子设计自动化(EDA)教程M.成都:成都电子科技大学出版社,2006,6790.7 邱玉春. 李文俊.VHDL系统设计M.电子产品世界,2007, 5053.8 苏长赞. 电梯设计与应用M. 北京:人民邮电出版社,2008,7691.9 楼然苗. CPLD设计指导M. 北京航空航天大学出版社,2007,223225.10 彭为. 数字系统设计M. 电子工业出版社,2006,6171.11 吴国经. 单片机应用技术M. 中国电力出版社,2004,112131.12 戴佳. VHDL程序设计实例精讲M. 电子工业出版社,2007,8892.13 朱清慧. VHDL教程. 清华大学出版社M.2008,201210.14 何立民EDA应用技术选编M北京:北京航空航天大学出版社,2008,445115 李华EDA实用接口技术M. 北京航空航天大学出版社2003,176182.

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1