ImageVerifierCode 换一换
你正在下载:

quartus2.docx

[预览]
格式:DOCX , 页数:26 ,大小:2.50MB ,
资源ID:3230445      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3230445.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(quartus2.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

quartus2.docx

1、 quartus2 Quartus 5.0 利用软件对 FPGA和 CPLD器件进行设计开发的步骤:设计准备输入设计文件处理设计文件功能仿真时序仿真器件编程实际测试。1,设计准备:在设计数字电路或系统之前设计者根据任务需要选择合适的设计方案,器件类型,然后采用自顶向下的方法对系统进行划分,并用适当的方式对系统逻辑功能进行描述。2,输入设计文件:设计者将所设计的电路或系统以开发软件要求的某种形式表达出来,并送入计算机。通常分为原理图和 HDL两种。3,功能仿真:功能仿真没有延时信息,仅对所设计的电路逻辑功能验证。仿真前,利用 HDL或波形编辑器等建立输入激励文件,仿真时需要编译设计文件提取电路功

2、能网络表,仿真结果一般为输出波形和文本形式的报告文件。4,处理设计文件:包括语法检查,设计规则检查,逻辑综合与化简(将各层次的多模块花化文件合并成一个网表文件,使层次设计平面化,是整个设计项目所占用的资源最少),逻辑适配(将设计的逻辑映射到具体器件相应的逻辑单元里),布局与布线,产生编程文件。5,时序仿真:私用包含延时信息的编译网表,不仅测试逻辑功能,还测试设计的逻辑在目标器件中最差情况下的时序关系。6,器件的编程与测试:在对设计的文件进行处理时,软件会自动产生供编程用的数据文件。对 CPLD 器件,编程文件为熔丝图文件;对 FPGA器件,为位流数据文件。软件使用:1.使用向导建立新工程,并输

3、入设计文件 启动软件后,界面如图所示:新建一个项目:file/New projiect wizzard 点击后会弹出下面界面 分别填好后 next,出现下一个界面 在这个界面里,可以添加已经设计好的逻辑模块实现逻辑共享,如果需要添加,点击 Add添加,如不需要 next,将出现下面的界面 此界面选择三方 EDA 软件,一般无需改动 next,出现下面的界面 此界面是让你指定在这个项目中你将选择哪款芯片,选择后选中 Yes,next,出现下面界面 选择具体芯片 next,finish 2.设计与仿真:建立好项目后,就可以进新设计了,我们可以选择图形,文字设计方法 1,语言(VHDL)file/n

4、ew 选择将要设计的文件类型 AHDL File是 AHDL格式的文件 Block Diagram/Schematic File 图形文件 EDIF File,Verilog HDL File 是 Verilog HDL格式的文 件 VHDL File是 VHDL格式的文件,选择 VHDL File 点击 OK 在这个界面里我们要写入程序,然后保存,可以针对这个程序编译,由于此文件不是当前顶层实体,我们需要把这个文件设定为当前顶层实体,这样编译时就只编译 这个文件。点击 projectset as TOP-level Entity CTRL+Shift+J 这样接下来就是要编译了,点击 pro

5、cessStart Compilation 编译时出现界面 若编译失败,则根据提示,可以进行修改。知道编译通过。接着进行仿真,首先首先就需要建立一个仿真文件:点击 filenew,然后在Device Design Files,Software Files 选择 Other Files 然后选择最下面一个 Vector Waveform File新建一个波形仿真文件,如图 新建完一个新的波形文件后,在最左边的空白处点击右键,选择 Insert Node or Bus 或者点击 EditInsert Node or Bus 下面对 Edit 菜单下的功能做简单介绍:Value:设定信号的的值,高阻

6、,高电平,低电平,时钟 Group:把一些分散的的数据总线合并成一根总线,这样看总线整体数据变化会比较方便。Ungroup:把合并的数据总线分别列出,这样方便看出数据总线单位上的数据变化。Insert Node or Bus:插入信号节点或总线 Insert Time Bus:插入时间轴 End Time:设定仿真的结束时间,也就是设定仿真的时间长度 Grid Size:设定仿真图形中单元格的间隔大小。点击完 Insert Node or Bus 后出现对话框 点击上图右边的 Node Finder会出现另外一个对话框 在滤除器选项中,可以删除不想要的信号然后点击 list。在 Node Fo

7、uder 下列出这个程序中需要仿真的信号和总线,把有用的信号加到 Select Nodes 下,然后 OK 在这个 24分频程序中只有一个 clk 为输入信号。Qout为计数器的个位 BCD码输出,qout2 为计数器的十位 BCD码输出,carry为进位信号,当计满 24时输出为一个高电平。为输入信号加激励信号,clk 为时钟信号,那么我们就给他一个时钟激励(点击EditValueClock出现一个对话框。我们可以设定这个始终激励的周期(频率),相位,以及占空比等,设定后 OK 然后保存,一般仿真的模块和文件的名字相同以方便以后管理和使用 然后点击 ToolSimulator Tool 出现

8、仿真对话框,如下图在 Simulator一栏中可以选择功能或时序仿真,下面先选择功能仿真即不考虑信号在 FPGA内部的延时,然后在下面一栏中导入波形仿真文件,我们导入刚才新建的仿真文件然后点击“功能仿真网表生成按钮”生成成功后,然后点击下方的”start”按钮,开始功能仿真,仿真结束后点击“report”按钮,就可查看仿真后的图形 可以看到仿真结果是一个带进位信号的 24 进制的计数器,功能正常功能仿真成功后,我们就可以进行时序仿真,在图中仿真模式选时序仿真,波形仿真文件还是上面的仿真文件,这时就不需要再生成功能仿真网表了,直接点击下方的“start”按钮,开始时序仿真,仿真结束后,点击“re

9、port“查看时序仿真结果 波形与上面不同是因为考虑了 FPGA的延时特性,时序仿真比较真实的反应程序运行的效果。此时,完成了设计与仿真,可以生成模块符号了FileCreate/updateCreate Symbol Files for current Files 见下图 二图形设计方法:新建一个图形设计文件,选择第二个 block diagram/schematic file 在这个图形编辑界面里我们可以放置元器件,插入元器件通过 EditInsert Symbol出现对话框 在元件库中可以找到自己想要的原件,元件放好后连线,添加输入/输出端口,编译 仿真过程和上面一样 三,管脚锁定 当一个

10、项目的顶层实体设计完成之后,就可以进行管脚锁定和约束,首先要做FPGA配置方面的设置,CPLD 则无此过程,点击 AssignmentDevice出现下面对话框 在这里我们也可以选择芯片具体信号,但是我们在这里主要看器件和管脚选项的设置,点击 DevicePin Options 在“通用设定”中把圈起来的部分选中,(Generate compressed bitstreams 生成压缩的比特流)然后在“配置设定”中选择 Cyclone 系列 FPGA配置芯片类型,在 FPGA开发 板上选用的芯片在下图中选中,其他根据自己需要自行设定 做完以上设置后就可以做锁定管脚了,点击 Assignment

11、sAssignment Editor Ctrl+shift+A,进入管脚锁定编辑器 在上面的界面中,就可以锁定管脚,在信号名称一栏中,双击左键,会出现一个下拉菜单,菜单上的信号就是在顶层实体中所用的输入/输出口,然后按顺序选中就可以把输入/输出端口依次填入空白框内;在锁定管脚一栏下,就是输入信号所对应的管脚号,在这些框中直接敲入数字,然后按回车键,就完成管脚的锁定而且自动换行,非常方便,在管脚电器类型中,可选择管脚输入电平的类型当所有管脚锁定后,保存后退出管脚编辑器。管脚锁定后,需要把顶层文件再编译一遍,编译通过后自动生成自动下载类型文件,接下来就可以下载程序到芯片中了。四下载程序 在硬件上检

12、验设计是否正确,点击 ToolProgrammer 第一次使用这样的软件,需要安装硬件驱动,点击 Hardware Setup 按钮,点击右边的 Add Hardware 然后左键单击 ByteBlasterMV 选中后呈蓝色,然后点击右边的 Select Hardware 设定完后关闭对话框,下载安装硬件完毕 对右图做一下说明,strat:启动下载 stop:停止下载 Auto Delect 自动检测,能通过 JTAG方式检测到芯片的型号 delete:删除下载文件或被检测到得器件 Add File:载 入下载文件 Change file:更改下载文件,save file:保存文件 Add

13、Device:添加器件 图中圈黑的地方可以选择下载模式,对 FPGA 来说有 Active Serial Programming(AS),JTAG,In-Socket Programming,Passive Serial(PS)对于 CPLD 来说只有 JTAG 对于 JTAG首先选择 JTAG模式,可通过 Auto Delect 扫描 FPGA芯片,然后删除刚才扫描的器件,接下来加载下载文件,通过 Add File加载后缀名为 sof 的文件,然后在下方画黑圈的地方打钩然后点击 start 启动下载 下载完毕后,FPGA就可以工作了,但是 JTAG 下载模式是把 FPGA 内部的 RAM中,因此关掉电脑后,RAM中程序丢失,AS 模式,在模式中选择 active serial programming 然后直接加载下载文件通过 Add File,缀名为 pof 打钩后 start AS 模式,是把程序下载到 FPGA配置芯片(EPCS1)内。FPGA每次上电后自动从配置芯片内的程序读进来,然后就可以开始工作。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1