ImageVerifierCode 换一换
格式:DOCX , 页数:17 ,大小:478.74KB ,
资源ID:3195303      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3195303.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VGA显示实验通信1103张加满.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

VGA显示实验通信1103张加满.docx

1、VGA显示实验通信1103张加满实验报告题 目:VGA显示实验VGA显示学生姓名张加满学 号201120110325专业班级通信11032013年 11 月 目 录一 实验目的和内容 11.1 实验目的 11. 2 实验内容和要求 11. 3 实验器材 1二 实验原理和设计步骤(含程序及仿真图、测试图等) 22.1 原理: VGA(Video Graphic Array )是计算机显示器的众多标准之一,一般有专用显示控制器实现图像的显示。这里我们尝试用FPGA来实现VGA的图像显示控制。 2常见的显示器的色彩由三基色RGB(红绿蓝)组成,用逐行扫描的方式进行图像显示。 2扫描从屏幕的左上方开始

2、,从左到右,从上到下,逐行扫描。 2程序: 32.2原理: 8三 项目下载 13四 实验总结和心得体会 13一 实验目的和内容1.1 实验目的熟悉VHDL语言、熟悉QuartusII软件的使用掌握IF语句的用法掌握VGA彩条显示方法1. 2 实验内容和要求采用VHDL编程实现VGA的横彩条、竖彩条和棋盘格显示。将要显示的图像保存在ROM中,然后通过VGA显示出来。1. 3 实验器材微机1台、Quartus II软件、Modelsim软件 、VGA显示器、FPGA实验平台二 实验原理和设计步骤(含程序及仿真图、测试图等)2.1 原理: VGA(Video Graphic Array )是计算机显

3、示器的众多标准之一,一般有专用显示控制器实现图像的显示。这里我们尝试用FPGA来实现VGA的图像显示控制。 常见的显示器的色彩由三基色RGB(红绿蓝)组成,用逐行扫描的方式进行图像显示。 扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描。程序:1)LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COLORbar IS PORT ( CLK : IN STD_LOGIC; HS, VS, R, G, B : OUT STD_LOGIC ); - 行同步,场同步,红,绿,蓝END

4、COLORbar;ARCHITECTURE behav OF COLORbar IS SIGNAL Hcount: INTEGER RANGE 0 TO 1056:=0; SIGNAL Vcount: INTEGER RANGE 0 TO 628:=0; SIGNAL HS1,VS1 : STD_LOGIC:=1; SIGNAL enable : STD_LOGIC:=0; SIGNAL RGB : STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN -行扫描计数p1: PROCESS( CLK ) BEGIN IF CLKEVENT AND CLK = 1 THEN IF

5、 Hcount 1055 THEN -e=1056 Hcount = Hcount + 1; ELSE Hcount=0; END IF; END IF; END PROCESS; -产生行同步信号HSp2: PROCESS( CLK,Hcount) BEGIN IF (Hcount 128) THEN -a=128 HS1=0; ELSE HS1=1; END IF; END PROCESS; -场扫描计数p3: PROCESS( HS1 ) BEGIN IF HS1EVENT AND HS1 = 0 THEN IF Vcount 627 THEN -S=628 Vcount = Vcoun

6、t + 1; ELSE Vcount= 0; END IF; END IF; END PROCESS; -产生场同步信号VSp4: PROCESS( Vcount) BEGIN IF (Vcount 4) THEN -O=4 VS1=0; ELSE VS1=1; END IF; END PROCESS; -产生图像显示使能信号enable. enable = 1时(高电平),显示图像。 PROCESS(CLK,Hcount) BEGIN IF (Hcount=1016 OR Vcount=627)THEN enable=0; ELSE enable=1; END IF; END PROCESS

7、; -显示横彩条 PROCESS(enable,HS1,Vcount) BEGIN IF enable=0 THEN RGB=000; ELSIF Vcount =27 THEN RGB = 100; ELSIF Vcount =102 THEN RGB = 010; ELSIF Vcount =177 THEN RGB = 001; ELSIF Vcount =252 THEN RGB = 110; ELSIF Vcount =327 THEN RGB = 101; ELSIF Vcount =402 THEN RGB = 011; ELSIF Vcount =477 THEN RGB =

8、111; ELSE RGB = 000; END IF; END PROCESS; HS=HS1;VS=VS1;R=RGB(2);G=RGB(1);B=RGB(0); END behav;2)LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COLORbal IS PORT ( CLK : IN STD_LOGIC; HS, VS, R, G, B : OUT STD_LOGIC ); - 行同步,场同步,红,绿,蓝END COLORbal;ARCHITECTURE behav OF

9、 COLORbal IS SIGNAL Hcount: INTEGER RANGE 0 TO 1040:=0; SIGNAL Vcount: INTEGER RANGE 0 TO 666:=0; SIGNAL HS1,VS1 : STD_LOGIC:=1; SIGNAL enable : STD_LOGIC:=0; SIGNAL RGB : STD_LOGIC_VECTOR(2 DOWNTO 0); .(同上). -显示竖彩条 PROCESS(enable,CLK,Hcount) BEGIN IF enable=0 THEN RGB=000; ELSIF Hcount =184 THEN RG

10、B = 100; ELSIF Hcount =284 THEN RGB = 010; ELSIF Hcount =384 THEN RGB = 001; ELSIF Hcount =484 THEN RGB = 110; ELSIF Hcount =584 THEN RGB = 101; ELSIF Hcount =684 THEN RGB = 011; ELSIF Hcount =784 THEN RGB = 111; ELSE RGB = 000; END IF; END PROCESS; HS=HS1;VS=VS1;R=RGB(2);G=RGB(1);B=RGB(0); END beha

11、v;3)LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COLORbarl IS PORT ( CLK : IN STD_LOGIC; HS, VS, R, G, B : OUT STD_LOGIC ); - 行同步,场同步,红,绿,蓝END COLORbarl;ARCHITECTURE behav OF COLORbarl IS SIGNAL Hcount: INTEGER RANGE 0 TO 1039:=0; SIGNAL Vcount: INTEGER RANGE 0 TO

12、 665:=0; SIGNAL HS1,VS1 : STD_LOGIC:=1; SIGNAL enable : STD_LOGIC:=0; SIGNAL RGB : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL RGB1 : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL RGB2 : STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN .同上. -显示网格 PROCESS(enable,HS1,Vcount) BEGIN IF enable=0 THEN RGB1=000; ELSIF Vcount =27 THEN RGB1 = 100; ELSIF Vcount =102 THEN RGB1 = 010; ELSIF Vcount =177 THEN RGB1 = 001; ELSIF Vcount =252 THEN RGB1 = 110; ELSIF Vcount =327 THEN RGB1 = 101; ELSIF Vcount =402 THEN RGB1 = 011; ELSIF Vcount =477 THEN RGB1 = 111; ELSE RGB1 = 000; END IF; IF

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1