ImageVerifierCode 换一换
格式:DOCX , 页数:20 ,大小:102.95KB ,
资源ID:3194353      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3194353.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA技术.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA技术.docx

1、EDA技术EDA技术1 EDA技术概述 利用EDA技术(特指IES/ASIC自动设计技术)进行电子系统的设计,具有以下几个特点: 用软件的方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。2 EDA发展过程a) 20世纪70年代的计算机辅助设计CAD阶段早期的电子系统硬件设计采用的是分立元件,随着集成电路的出现和应用,硬件设计进入到发展的初级阶段。初级阶段的硬件设计大量选用中、小规模标准集成电路。 由

2、于设计师对图形符号使用数量有限,因此传统的手工布图方法无法满足产品复杂性的要求,更不能满足工作效率的要求。 b) 20世纪80年代的计算机辅助工程设计CAE阶段初级阶段的硬件设计是用大量不同型号的标准芯片实现电子系统设计的。随着微电子工艺的发展,相继出现了集成上万只晶体管的微处理器、集成几十万直到上百万储存单元的随机存储器和只读存储器。 伴随着计算机和集成电路的发展,EDA技术进入到计算机辅助工程设计阶段。20世纪80年代初推出的EDA工具则以逻辑模拟、定时分析、故障仿真、自动布局和布线为核心,重点解决电路设计没有完成之前的功能检测等问题。利用这些工具,设计师能在产品制作之前预知产品的功能与性

3、能,能生成产品制造文件,使设计阶段对产品性能的分析前进了一大步.c) 20世纪90年代电子系统设计自动化EDA阶段为了满足千差万别的系统用户提出的设计要求,最好的办法是由用户自己设计芯片,让他们把想设计的电路直接设计在自己的专用芯片上。微电子技术的发展,特别是可编程逻辑器件的发展,使得微电子厂家可以为用户提供各种规模的可编程逻辑器件,使设计者通过设计芯片实现电子系统功能。 EDA工具的发展,又为设计师提供了全线EDA工具。这个阶段发展起来的EDA工具,目的是在设计前期将设计师从事的许多高层次设计工作由工具来完成,如可以将用户要求转换为设计技术规范,有效地处理可用的设计资源与理想的设计目标之间的

4、矛盾,按具体的硬件、软件和算法分解设计等。由于电子技术和EDA工具的发展,设计师可以在不太长的时间内使用EDA工具,通过一些简单标准化的设计过程,利用微电子厂家提供的设计库来完成数万门ASIC和集成系统的设计与验证。20世纪90年代,设计师逐步从使用硬件转向设计硬件,从单个电子产品开发转向系统级电子产品开发(即片上系统集成,System on a chip)3 EDA技术的主要内容1) 大规模可编程逻辑器件可编程逻辑器件(简称PLD)是一种由用户编程以实现某种逻辑功能的新型逻辑器件。FPGA和CPLD分别是现场可编程门阵列和复杂可编程逻辑器件的简称。现在,FPGA和CPLD器件的应用已十分广泛

5、,它们将随着EDA技术的发展而成为电子设计领域的重要角色。 FPGA 在结构上主要分为三个部分,即可编程逻辑单元,可编程输入/输出单元和可编程连线三个部分。CPLD在结构上主要包括三个部分,即可编程逻辑宏单元,可编程输入/输出单元和可编程内部连线。高集成度、高速度和高可靠性是FPGA/CPLD最明显的特点,其时钟延时可小至ns级。结合其并行工作方式,在超高速应用领域和实时测控方面有着非常广阔的应用前景。 2) 硬件描述语言(HDL)常用的硬件描述语言有VHDL、Verilog、ABEL。VHDL:作为IEEE的工业标准硬件描述语言,在电子工程领域,已成为事实上的通用硬件描述语言。Verilog

6、:支持的EDA工具较多,适用于RTL级和门电路级的描述,其综合过程较VHDL稍简单,但其在高级描述方面不如VHDL。ABEL:一种支持各种不同输入方式的HDL,被广泛用于各种可编程逻辑器件的逻辑功能设计,由于其语言描述的独立性,因而适用于各种不同规模的可编程器件的设计。有专家认为,在新世纪中,VHDL与Verilog语言将承担几乎全部的数字系统设计任务。3) 软件开发工具目前比较流行的、主流厂家的EDA的软件工具有Altera的MAX+plus II、Lattice的ispEXPERT、Xilinx的Foundation Series。MAX+plus II:支持原理图、VHDL和Verilo

7、g语言文本文件以及以波形与EDIF等格式的文件作为设计输入,并支持这些文件的任意混合设计。它具有门级仿真器,可以进行功能仿真和时序仿真,能够产生精确的仿真结果。 在适配之后,MAX+plus II生成供时序仿真用的EDIF、VHDL和Verilog这三种不同格式的网表文件。它界面友好,使用便捷,被誉为业界最易学易用的EDA的软件,并支持主流的第三方EDA工具,支持除APEX20K系列之外的所有Altera公司的FPGA/CPLD大规模逻辑器件。ispEXPERT:ispEXPERT System是ispEXPERT的主要集成环境。通过它可以进行VHDL、Verilog及ABEL语言的设计输入、

8、综合、适配、仿真和在系统下载。ispEXPERT System是目前流行的EDA软件中最容易掌握的设计工具之一,它界面友好,操作方便,功能强大,并与第三方EDA工具兼容良好。Foundation Series:Xilinx公司最新集成开发的EDA工具。它采用自动化的、完整的集成设计环境。Foundation项目管理器集成了Xilinx实现工具,并包含了强大的Synopsys FPGA Express综合系统,是业界最强大的EDA设计工具之一。这三个软件的基本功能相同,主要差别在于: 面向的目标器件不一样; 三者的性能各有优劣。4) 实验开发系统实验开发系统提供芯片下载电路及EDA实验/开发的外

9、围资源(类似于用于单片机开发的仿真器),以供硬件验证用。一般包括: 实验或开发所需的各类基本信号发生模块,包括时钟、脉冲、高低电平等; FPGA/CPLD输出信息显示模块,包括数码显示、发光管显示、声响指示等; 监控程序模块,提供“电路重构软配置”; 目标芯片适配座以及上面的FPGA/CPLD目标芯片和编程下载电路。4 EDA软件系统的构成EDA技术研究的对象是电子设计的全过程,有系统级、电路级和物理级三个层次的设计。其涉及的电子系统是指从低频、高频到微波,从线性到非线性,从模拟到数字,从通用集成电路到专用集成电路构造的电子系统,因此,EDA技术研究的范畴相当广泛。从专用集成电路(ASIC)开

10、发与应用角度看,EDA软件系统应当包含以下子模块:设计输入子模块、设计数据库子模块、分析验证子模块、综合仿真子模块、布局布线子模块等。(1) 设计输入子模块:该模块接受用户的设计描述,并进行语义正确性、语法规则的检查,检查通过后,将用户的设计描述数据转换为EDA软件系统的内部数据格式,存入设计数据库,以便被其他子模块调用。(2) 设计数据库子模块:该模块存放系统提供的库单元以及用户的设计描述和中间设计结果。(3) 分析验证子模块:该模块包括各个层次的模拟验证、设计规则的检查、故障诊断等。(4) 综合仿真子模块:该模块包括各个层次的综合工具。理想的情况是从高层次到低层次的综合仿真全部由EDA工具

11、自动实现。 (5) 布局布线子模块:该模块实现由逻辑设计到物理实现的映射,因此与物理实现的方式密切相关。例如,最终的物理实现可以是门阵列、可编程逻辑器件等。由于对应的器件不同,因此各自的布局布线工具会有很大的差异。EDA工具不只面向ASIC的应用与开发,还有涉及电子设计各个方面的EDA工具,包括数字电路设计、模拟电路设计、数模混合设计、系统设计、仿真验证等电子设计的许多领域EDA工具。这些工具对硬件环境要求高,一般的运行平台要求是工作站和UNIX操作系统,其功能齐全、性能优良,一般由专门开发EDA软件工具的软件公司提供,如Cadence、Mentel Graphics、Viewlogic、Sy

12、nopsys等软件公司都有其特色工具。5 EDA工具的发展趋势1. 设计输入工具的发展趋势 早期EDA工具设计输入普遍采用原理图输入方式,以文字和图形作为设计载体和文件,将设计信息加载到后续的EDA工具中,完成设计分析工作。原理图输入方式的优点是直观,能满足以设计分析为主的一般要求,但是原理图输入方式不适合用EDA综合工具。 2. 具有混合信号处理能力的EDA工具目前,数字电路设计的EDA工具远比模拟电路的EDA工具多。模拟集成电路EDA工具开发的难度较大,但是,由于物理量本身多以模拟形式存在,所以实现高性能的复杂电子系统的设计离不开模拟信号。 3. 更为有效的仿真工具的发展 通常,可以将电子

13、系统设计的仿真过程分为两个阶段:设计前期的系统级仿真和设计过程的电路级仿真。系统级仿真主要验证系统的功能;电路级仿真主要验证系统的性能,决定怎样实现设计所需的精度。4. 更为理想的设计综合工具的开发 今天,电子系统和电路的集成规模越来越大,几乎不可能直接面向版图做设计,若要找出版图中的错误,更是难上加难。 仍将起到重要的作用,自动综合工具将有效地提高优化设计效率。设计综合工具由最初的只能实现逻辑综合,逐步发展到可以实现设计前端的综合,直到设计后端的版图综合以及测试综合的理想且完整的综合工具。 面对当今飞速发展的电子产品市场,电子设计人员需要更加实用、快捷的EDA工具,使用统一的集成化设计环境,

14、改变传统设计思路,即优先考虑具体物理实现方式,而将精力集中到设计构思、方案比较和寻找优化设计等方面,以最快的速度开发出性能优良、质量一流的电子产品。 6 EDA的工程设计流程EDA的工程设计流程与上面所描述的基建流程类似:第一需要进行“源程序的编辑和编译”用一定的逻辑表达手段将设计表达出来;第二要进行“逻辑综合”将用一定的逻辑表达手段表达出来的设计,经过一系列的操作,分解成一系列的基本逻辑电路及对应关系(电路分解); 第三要进行“目标器件的布线/适配”在选定的目标器件中建立这些基本逻辑电路及对应关系(逻辑实现);第四,目标器件的编程/下载将前面的软件设计经过编程变成具体的设计系统(物理实现);

15、最后,要进行硬件仿真/硬件测试验证所设计的系统是否符合设计要求。同时,在设计过程中要进行有关“仿真”模拟有关设计结果与设计构想是否相符。综上所述,EDA的工程设计的基本流程如图1.1所示,现具体阐述如下。1. 源程序的编辑和编译 利用EDA技术进行一项工程设计,首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式或图形方式表达出来,进行排错编译,变成VHD 文件格式,为进一步的逻辑综合做准备。常用的源程序输入方式有三种。(1) 原理图输入方式:利用EDA工具提供的图形编辑器以原理图的方式进行输入。原理图输入方式比较容易掌握,直观且方便,所画的电路原理图(请注意,这种原理图与利用Prote

16、 画的原理图有本质的区别)与传统的器件连接方式完全一样,很容易被人接受,而且编辑器中有许多现成的单元器件可以利用,自己也可以根据需要设计元件。然而原理图输入法的优点同时也是它的缺点: 随着设计规模的增大,设计的易读性迅速下降,对于图中密密麻麻的电路连线,极难搞清电路的实际功能; 一旦完成,电路结构的改变将十分困难,因而几乎没有可再利用的设计模块; 移植困难、入档困难、交流困难、设计交付困难,因为不可能存在一个标准化的原理图编辑器。(2) 状态图输入方式:以图形的方式表示状态图进行输入。当填好时钟信号名、状态转换条件、状态机类型等要素后,就可以自动生成VHD 程序。这种设计方式简化了状态机的设计,比较流行。(3) VHD 软件程序的文本方式:最一般化、最具普遍性的输入方法,任何支持VHD 的EDA工具都支持文本方式的编辑和编译2. 逻辑综合和优化 欲

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1