ImageVerifierCode 换一换
格式:DOCX , 页数:33 ,大小:1.29MB ,
资源ID:3153174      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3153174.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的异步FIFO设计毕业论文.docx)为本站会员(b****6)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的异步FIFO设计毕业论文.docx

1、基于FPGA的异步FIFO设计毕业论文基于FPGA的异步FIFO设计毕业论文第一章 绪论 11.1 FPGA简介 11.2 异步FIFO简介 11.3 国外研究现状及存在的问题 11.3.1 研究现状 11.3.2 存在问题 21.4 本课题主要研究容 3第二章 异步FIFO设计要求及基本原理 42.1 设计要求 42.2 异步FIFO基本原理 52.3 异步FIFO设计难点 52.4 系统设计方案 62.5 异步FIFO验证方案 72.5.1 验证复位功能 72.5.2 验证写操作功能 72.5.3 验证读操作功能 72.5.4 验证异步FIFO电路整体功能 7第三章 模块设计与实现 83.

2、1 格雷码计数器模块 83.2 同步模块 83.3 格雷码自然码转换模块 93.4 空满标志产生模块 103.5 双端口RAM 13第四章 时序仿真与实现 154.1 模块整合 154.2 时序仿真及功能测试 174.2.1 复位功能软件仿真与测试 174.2.2 写操作功能时序仿真与测试 174.2.3 读操作功能时序仿真与测试 184.2.4 异步FIFO电路整体功能软件仿真与测试 184.2.5 时序仿真结果总结 19第五章 硬件仿真与实现 205.1 外部电路焊接 205.2 引脚分配 215.3 调试电路设计 245.3.1 调试电路介绍 245.3.2 异步时钟产生模块 255.3

3、.3 伪随机数据队列产生模块 255.3.5 调试电路引脚分配 265.3.6 调试电路硬件仿真 275.4 异步FIFO电路硬件仿真 285.4.1 复位功能硬件仿真与测试 295.4.2 写操作功能硬件仿真与测试 305.4.3 读操作功能硬件仿真与测试 305.4.4 异步FIFO硬件电路整体功能软硬件仿真与测试 315.4.5 硬件仿真结果总结 32结论 33致谢 34参考文献 35附录 36第1章 绪论1.1 FPGA简介FPGA(FieldProgrammable Gate Array),即现场可编程门阵列,它是在CPLD、PAL、GAL等可编程器件的基础上进一步发展的产物10。利

4、用VHDL或Verilog硬件描述语言进行电路设计,经过简单的布局整合之后,快速的烧入至 FPGA 上进行调试,是现代 IC设计验证技术的主流。FPGA作为一种半定制电路而出现在专用集成电路(ASIC)领域中,既克服了先前可编程器件的门电路数目有限的缺点,又弥补了定制电路的不足。基于FPGA的异步FIFO具有现场可编程,容量改动性大,速度快,实现简单,开发时间快,生产周期短,可移植性好的优点。1.2 异步FIFO简介在现代集成电路芯片中,设计规模不断扩大,一个系统中往往包含多个时钟。如何在异步时钟间进行数据传输成为了电路设计中的一个重要问题。异步FIFO(First In First Out)

5、是解决这个问题的一个简单有效的方案。异步FIFO是一种先进先出电路,常用来缓存数据和容纳异步信号间的周期和相位差异,使用异步FIFO可以在两个不同的时钟系统之间进行快速准确的实时数据传输。异步FIFO在网络接口、数据采集和图像处理等方面得到了十分广泛的应用2。异步FIFO用在异步时钟数据接口部分,由于异步时钟间的频率和相位完全独立,数据传输时的丢失率不为零,如何降低数据丢失率,设计一个高速可靠的异步FIFO便成为了一个难点。本课题介绍了一种基于FPGA设计高速可靠的异步FIFO电路的方法。1.3 国外研究现状及存在的问题1.3.1 研究现状在20世纪80年代早期对FIFO存储器的容量和速度需求

6、都很低,所以那时的FIFO芯片是基于移位寄存器的中规模集成(MSI)器件,由于这种芯片在容量不会太大,所以其速度也不可能很快。新型的FIFO芯片是基于RAM结构的大规模集成(LSI)电路,其部存储单元使用一个双端口RAM,具有输入和输出两套数据线。由于采用RAM结构,数据从写入到读出的延迟时间将大大缩短。这种芯片能在存储宽度和深度上得到很大的发展。目前,为了更大的提高芯片容量,其部存储单元使用动态RAM代替静态RAM,并在芯片部集成刷新电路,通过部仲裁单元控制器件的读写及自动刷新操作。随着微电子技术的飞速发展,新一代的FIFO芯片容量越来越大,速度越来越快,体积也越来越小。美国IDT公司已经推

7、出运行速度高达225MHz,电压低至2.5V,可在业各种配置下实现业最大数据流量高达9 Mb的FIFO系列。Cypress Semiconductor公司推出具有80位宽的BEAST型的高性能FIFO存储器,它的带宽高达300bps,可以工作在200 MHz频率下;Honeywell公司推出了一种基于SOI的FIFO存储器,它采用专门的抗辐射加固工艺和设计版图,主要用于军事系统和高辐射的空间环境中;FIFO芯片的最新产品是IDT公司推出的多队列FIFO存储器系列,它使用集成的嵌入式FIFO存储器核和高速队列逻辑来构成块结构。它的数据读写速度可达到200 MHz,存储时间也只有3.6 ns,可以

8、通过最多八个器件的连接来实现容量深度的扩展和队列扩展6。目前在国大部分集成芯片中,单独做FIFO芯片的很少,国的一些研究所和厂商也开发了FIFO电路,但还远不能满足市场和军事需求。1.3.2 存在问题 国外设计FIFO时,通常使用两种方法,一是利用可编程逻辑器件来构造FIFO(如Xilinx公司),二是利用Verilog、VHDL等硬件描述语言来对FIFO的功能结构进行描述6。在大部分的EDA软件中,都是通过综合器来完成对EDA等硬件语言的编译的,综合器将硬件描述语言的描述转变为物理可实现的电路形式,由于FIFO是基于RAM结构的,大部分的参考资料都是建立在数组存取的基础上对FIFO进行描述的

9、,然而综合器对数组的综合一般是将其转变为寄存器的结构,这带来的缺陷是综合后的结构会非常庞大,造成在大容量的FIFO设计时,会产生大量面积的浪费,甚至无法集成。1.4 本课题主要研究容本课题基于FPGA技术,在Cyclone II系列的EP2C5T144C8N芯片的基础上,选用Quartus II软件利用VHDL 硬件描述语言进行逻辑描述,并采用层次化、描述语言和图形输入相结合的方法设计了一个RAM深度为128 bit,数据宽度为8 bit的异步FIFO电路,并对其功能进行了时序仿真和硬件仿真验证。论文各章节的主要容安排如下:第一章为绪论,简要介绍了FPGA的相关知识以及异步FIFO的主要作用、

10、研究背景和国外的发展现状,并概括介绍了本课题的主要研究容。第二章为异步FIFO设计要求及基本原理,首先介绍了本课题的设计要求,然后对异步FIFO的结构、基本原理以及其设计难点进行了分析,并由此归纳出系统的设计模块和预期功能。第三章为模块设计与实现,主要介绍了异步FIFO的模块组成及各模块的功能和原理,并利用VHDL硬件描述语言,通过Quartus II软件对各模块进行了编写和仿真。第四章为时序仿真与实现,通过层次化、描述语言和图形输入相结合的方法将各模块整合为异步FIFO顶层模块,并通过Quartus II软件的波形编辑器对其进行时序仿真和分析。第五章为硬件仿真与实现,连接外设及进行引脚分配后

11、,将完成的异步FIFO顶层实体下载入开发板,并通过编写测试程序产生读写时钟及伪随机数输入数据,利用Quartus II软件的嵌入式逻辑分析仪SignalTap II对实物进行硬件仿真和分析,完成设计任务。最后结论对本次毕业设计进行了归纳和综合,概括了所取得的成果和存在的不足,以及对进一步开展研究的见解与建议。第2章 异步FIFO设计要求及基本原理2.1 设计要求本课题使用EP2C5T144C8N核心板最小系统设计一个RAM深度为128 bit,数据宽度为8 bit的异步FIFO电路,其外部接口如图2-1所示,接口说明如表2-1所示。复位后,通过读写使能控制读写操作。当写时钟脉冲上升沿到来时,判

12、断写信号是否有效,有效则写入一个八位数据到RAM中;当读时钟脉冲上升沿到来时,判断读信号是否有效,有效则从RAM中把一个八位数据读取出来。当RAM中数据写满时产生一个写满标志,不能再往RAM写入数据;当RAM中数据读空时产生一个读空标志,不能再从RAM读出数据。图2-1 异步FIFO外部接口表2-1 异步FIFO外部接口说明管脚名称方向说明rstin复位,低电平有效wr_enin写使能,高电平有效rd_enin读使能,高电平有效wr_clkin写时钟rd_clkin读时钟fullout读空标志emptyout写满标志Data7.0out输入数据q7.0out输出数据2.2 异步FIFO基本原理

13、异步FIFO主要由双端口RAM和读写控制逻辑及空满标志产生逻辑构成,其基本结构图如图2-2所示。图2-2 异步FIFO基本结构图由结构图可以看出该系统为环状结构,存在两个完全独立的时钟域写时钟域和读时钟域。异步FIFO的存储介质是一块双端口RAM,可以同时进行读写操作。在写时钟域,写地址产生逻辑产生写地址和写控制信号,在读时钟域,读地址产生逻辑产生读地址和读控制信号。空满标志产生逻辑通过比较同步后的读写地址来产生空满标志信号,同时,产生的空满标志信号又和输入的读写使能信号一起控制读写时钟域进行读写操作。2.3 异步FIFO设计难点异步FIFO设计存在两个难点:一是如何同步异步信号,降低亚稳态发

14、生概率;二是如何正确产生存储器的空满标志8。其中如何正确产生存储器的空满标志在下一章节有详细介绍。亚稳态是一种物理现象,必然发生在异步FIFO电路中。在数字电路中,触发器必须满足建立和保持的时间要求,然而在实际电路中,电路的外部输入和部时钟完全独立,存在很大可能性出现不满足建立和保持的时间要求的情况,另外,由于在电路部的两个毫无关系的时钟域之间进行信号传递,也可能出现不满足建立和保持的时间要求的情况。这种情况会使系统中存在未知态,输出将有可能是逻辑0或者逻辑1,或者是介于两者之间的任何值,这个过程称为亚稳态。由于亚稳态使物理系统产生了一种不可预知性,所以亚稳态是很危险的。虽然亚稳态没法避免,但

15、可以通过下面两种方法降低亚稳态发生的概率12:(1)对读写地址使用格雷码计数器。格雷码是一种错误最小化的编码方式,使用格雷码计数器进行计数时,每一次计数增加只有一位数据位改变,而使用自然二进制码计数时,每一次计数增加都可能造成多位数据位的变动,这就使得数据位变动时,格雷码计数器发生亚稳态的概率大大低于自然二进制码计数器。(2)使用触发器同步异步信号。使用触发器同步或者增加冗余可以很好的降低亚稳态发生的概率,本课题采用D触发器二级同步方式,同步电路图如图2-3所示。当且仅当Q1的跃变非常接近时钟沿的时候,Q2才会进入亚稳态2,这就大大提高了系统的可靠性。图2-3 D触发器二级同步2.4 系统设计方案根据异步FIFO基本原理,本课题可采用层次化、描述语言和图形输入相结合的方法设计异步FIFO电路,该系统可分为同步模块、格雷码计数模块、格雷码自然码转换模块、空满标志产生模块和双端口RAM几部分组成。2.5 异步FIFO验证方案根据异步FIFO的基本原理和本课题的设计方案,若所设计的异步FIFO电路能实现如下预期设计功能,则该异步FIFO电路符合设计要求。2.5.1 验证复位功能将系统运行后,若按下复

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1