ImageVerifierCode 换一换
格式:DOCX , 页数:10 ,大小:29.71KB ,
资源ID:3088110      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/3088110.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(工学基于fpga 的des加密算法的实现.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

工学基于fpga 的des加密算法的实现.docx

1、工学基于fpga 的des加密算法的实现工学基于fpga 的des加密算法的实现 工学基于fpga 的des加密算法的实现 杭州电子科技大学 摘要 密码技术作为信息安全的支撑技术,事关国家的根本利益,同时直接影响国 家的未来和发展,世界各国都对此予以充分重视。 随着网络的快速发展,信息安 全越来越引起人们的关注。 DES(Data Encryption Standard,数据加密标准)加密算法在成为加密标准到今 天,经历了长期的考验。 实践证明 DES 算法的安全性是能够满足大部分的安全要 求的。 随着微电子技术的发展,可编程逻辑器件由早期的只读存贮器(PROM)发 展到今天十分流行并广泛应用

2、的现场可编程门阵列 CPLD/FPGA。 CPLD/FPGA 具 有体系结构和逻辑单元灵活,集成度高以及实用范围宽等特点,可以实现较大规 模的电路,编程也很灵活,而且设计开发周期短,设计制造成本低,开发工具先 进,标准产品无需测试,质量稳定以及可实时在线检验等优点,因此被广泛应用 于产品的原型设计和产品生产。 所以,应用 CPLD/FPGA 来设计和实现 DES 加密算法具有十分重要的现实意 义和广阔的应用前景。 概括起来,本文主要完成了以下几方面的主要工作: (1)完成了 DES 加密系统的整体设计。 整个系统包括 DES 加密核心模块和 函数包模块。 (2)在 ALTERA 公司的 Qua

3、rtus II 环境下用 VHDL 实现,进行编译、综合和 仿真。 关键字:DES;VHDL;FPGA 杭州电子科技大学 ABSTRACT As the key of the information security,encryption technology influence the nations benefit. It will affect nationals future and the development directly. Various countries all regarding this as important technology.With the rapid

4、development of internet, people pay more attention to the information security. DES (Data Encryption Standard) encryption arithmetic has been standing through many tests since it became the encryption standard. It is proved that the security of the DES encryption can satisfy the voluminous needs. Wi

5、th the development of micro-electronic technology, the programmable logic device develops from earlier ROM to CPLD/FPGA which is very popular and extensive applied today. The CPLD/FPGA has the characteristics including flexible system structure and logic unit, high integration and wide application.

6、Especially, the CPLD/FPGA can carry out the more large-scale circuit and can be programmed flexibly. When the engineers use the CPLD/FPGA to design and develop the product, the time is less, the cost is lower, and the tools are more advanced than using other devices because the standard product does

7、nt need to test as before, the quality is steadier and the product can be inspected on line. So, the CPLD/FPGA is widely used to design and develop product. Therefore, using the CPLD/FPGA to design and implement the DES encryption arithmetic is very practical and will be popular in many fields. The

8、main contributions of the paper are as follow: (1)Completed the whole design of the DES encryption system .The whole system includes the DES encryption core module and the function package module. (2)Used ALTERA Company platform-Quartus II,VHDL to realize design , compile, synthesis and simulate the

9、 design. Key words: DES;VHDL;FPGA 杭州电子科技大学 目 录 1 绪论.1 1.1 DES 的基本概念及特点1 1.2DES 和 RSA2 1.3 基于 FPGA 的 DES 加密系统的研究背景,意义,及应用前景 .2 1.3.1 DES 加密系统现状及发展.2 1.3.2 FPGA 简介2 1.4 硬件描述语言 VHDL 简介 .3 1.5 EDA 工具简介.4 1.5.1 Quartus 开发工具简介 4 1.5.2 Synplify PRO 简介5 本章小结6 2 DES 加密算法原理 .7 2.1 DES 加密算法原理简介.7 2.2 DES 加密原理.

10、7 2.2.1 初始置换 .8 2.2.2 每轮循环过程 .9 2.2.3 轮密匙的产生 12 2.3 DES 算法的安全强度.14 本章小结.14 3 加密系统设计15 3.1 加密系统设计方案 .15 3.2 本课题讨论的设计内容 .15 3.2.1 程序函数包的设计 .16 3.2.2 轮密钥的生成18 3.2.3 S 盒的设计20 3.2.4 每轮加密单元的设计 .23 3.2.5 DES 算法执行模块的顶层设计25 本章小结.27 4 仿真结果及分析28 4.1 基于 QUARTUS的仿真 28 4.2基于 SYNPLIFY PRO 的综合 29 本章小结.30 结束语.31 参考文

11、献.32 致谢.33 杭州电子科技大学 1 1 绪论 1.1 DES 的基本概念及特点5 5 数据加密标准(Data Encryption Standard,DES) ,作为 ANSI(American National Standards Institute,美国国家标准学会)的数据加密算法(Data Encryption Algorithm,DEA)和 ISO(International Organization for Standardization,国际标准化组织)的 DEA-1,成为一个世界范围内的标准己经 20 多年了。 DES 起源于 1973 年美国国家标准局(NBS)征求国家

12、密码标准方 案。 IBM 就提交了其在 20 世纪 60 年代末设立的一个计算机上密码编码学方面 的研究项目的成果的结果,这个项目在 1971 年底研制了一种称为 Lucifer 的算 法。 它是当时提出的最好的算法,因而在 1977 年被选为数据加密标准,有效期 限为 5 年,随后在 1983,1987,1993 年三次再度被授权续用 5 年。 DES(Data Encryption Standard)满足了国家标准局欲达到的 4 个目的:提供高 质量的数据保护,防止数据XX的泄露和未被察觉的修改;具有相当高的 复杂性,使得破译的开销超过可能获得的利益,同时又要便于理解和掌握。 DES 算法

13、把 64 位的明文输入块变为 64 位的密文输出块,它所使用的密钥 也是 64 位,首先,DES 把输入的 64 位数据块按位重新组合,并把输出分为 L0、R0 两部分,每部分各长 32 位,并进行前后置换(输入的第 58 位换到第一 位,第 50 位换到第 2 位,依此类推,最后一位是原来的第 7 位) ,最终由 L0 输出左 32 位,R0 输出右 32 位,根据这个法则经过 16 次迭代运算后,得到 L16、R16,将此作为输入,进行与初始置换相反的逆置换,即得到密文输出。 DES 算法的入口参数有三个:Key、Data、Mode。 其中 Key 为 8 个字节共 64 位,是 DES

14、算法的工作密钥;Data 也为 8 个字节 64 位,是要被加密或被解 密的数据;Mode 为 DES 的工作方式,有两种:加密或解密,如果 Mode 为加 密,则用 Key 去把数据 Data 进行加密,生成 Data 的密码形式作为 DES 的输出 结果;如 Mode 为解密,则用 Key 去把密码形式的数据 Data 解密,还原为 Data 的明码形式作为 DES 的输出结果。 在使用 DES 时,双方预先约定使用的”密码” 即 Key,然后用 Key 去加密数据;接收方得到密文后使用同样的 Key 解密得到 原数据,这样便实现了安全性较高的数据传输。 DES 算法在 POS(Point

15、 Of Sells,电子收款机系统) ,ATM(Automatic Teller Machine,自动取款机) ,IC 卡,加油站,高速公路收费站等领域被广泛应用。 杭州电子科技大学 2 1.2DES 和 RSA5 5 设计一种强密度的密码算法有两种方法,一是研究用于密码分析的所有可 能性解法,然后设计一套规则以挫败这些解法中的任何一种算法,于是便能构 造一种能够抗拒这些解法的算法,二是构造这样的一些算法,使得要破解它就 必须解决一些问题,而这些问题被认为是不可解的。 本文将要介绍的 DES 算法 属于第一种,而 RSA 则属于第二种。 1.3 基于 FPGA 的 DES 加密系统的研究背景,

16、意义,及应用前景 1.3.1 DES 加密系统现状及发展 随着信息技术的发展,计算机应用渗透到社会生活的各个领域,特别是在 军事中的应用,使人们对信息的依赖程度越来越大,从而使信息安全技术显得 格外重要。 从技术角度讲,网络安全除了依赖安全的网络通信协议及应用协议 外,更多地取决于网络设备如交换机、路由器、网桥等所提供的加/解密功能。 目前,基于 DES 算法的加/解密硬件广泛地应用于军事,卫星通信、网关服务 器、机顶盒、视频传输以及其它大量的数据传输业务中。 DES 加密技术是一种常用的对称加密技术,该技术算法公开,加密强度大, 运算速度快,在各行业甚至军事领域得到广泛的应用。 DES 算法从 1977 年公 布到现在已有将近 30 年的历史,虽然有些人对它的加密强度持怀疑态度,但现 在还没有发现实用的破译 DES 的方法。 并且在应用中,人们不断提出新的方法 增强 DES 算法的加密强度,如 3 重 DES 算

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1