ImageVerifierCode 换一换
格式:DOCX , 页数:7 ,大小:651.43KB ,
资源ID:29903310      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/29903310.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(74181ALU设计说明.docx)为本站会员(b****8)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

74181ALU设计说明.docx

1、74181ALU设计说明算术逻辑单元ALU的设计班 级:计科1201班学 号:1208030113姓 名:何志强设计日期:2014-11-20西安科技大学计算机科学与技术学院1. 实验题目 算数逻辑单元ALU的设计2. 实验目的 设计一个简单的算数逻辑单元,并用Verilog实现,得到仿真波形。3. 实验设备 安装有modelsim和Quartus2的PC机一台4.实验原理算术逻辑单元ALU集成了各种算术运算和逻辑运算部件的功能,包括加、减、乘、除等数值运算、逻辑运算、移位运算等。把这些功能集成在一个逻辑部件ALU之中,使得ALU具有算术运算和逻辑运算功能。这种设计方法可以使得功能比较紧凑,简

2、化对逻辑运算部件和算术运算部件的使用。同时还能最大限度的复用某些逻辑部件,从而减少逻辑电路的使用。3.1 设计ALU首先要对各种算数逻辑运算进行编码。表1为本文设计的处理器实用的ALU功能编码表。表1 ALU功能编码表算术逻辑单元ALU的实现算术逻辑单元ALU的内部详细设计算术逻辑单元ALU的Verilog的实现 module ALU181A(S,A,B,F,M,CN,CO,FZ);input3:0 S;input7:0 A,B;input M,CN;output7:0 F;output CO,FZ;wire7:0 F;wire CO;wire8:0 A9,B9;reg FZ;reg8:0 F

3、9;assign A9=1b0,A;assign B9=1b0,B;always (M or CN or A9 or B9 or S) begincase(S)4b0000: if(M=0) F9=A9+CN; else F9=A9;4b0001: if(M=0) F9=(A9|B9)+CN; else F9=(A9)&B9;4b0010: if(M=0) F9=(A9|(B9)+CN; else F9=A9;4b0011: if(M=0) F9=9b000000000-CN; else F9=9b000000000;4b0100: if(M=0) F9=A9+(A9&B9)+CN; else

4、 F9=(A9&B9);4b0101: if(M=0) F9=(A9+B9)+(A9&B9)+CN); else F9=B9;4b0110: if(M=0) F9=A9-B9-CN; else F9=A9B9;4b0111: if(M=0) F9=A9+(B9)-CN; else F9=A9&(B9);4b1000: if(M=0) F9=A9+(A9&B9)+CN; else F9=(A9)+B9;4b1001: if(M=0) F9=A9+B9+CN; else F9=(A9B9);4b1010: if(M=0) F9=A9+(B9)+(A9&B9)+CN; else F9=B9;4b10

5、11: if(M=0) F9=(A9&B9)+CN; else F9=(A9&B9);4b1100: if(M=0) F9=A9+A9+CN; else F9=9b000000001;4b1101: if(M=0) F9=(A9+B9)+A9+CN; else F9=A9+(B9);4b1110: if(M=0) F9=A9+(B9)+A9+CN; else F9=A9+B9;4b1111: if(M=0) F9=A9-CN; else F9=A9;default: F9=9b000000000;endcaseendassign F=F97:0;assign CO=F98;endmodule/

6、includeALU181.vmodule TestA; reg7:0 a,b; reg3:0 s; reg m,cn; wire7:0 f; wire co,fz; initial begin a=8b00000001; b=8b00000001; s=4b1001; m=0; cn=0; #2000 a=8b10001001; b=8b00000001; s=4b1001; m=0; cn=0; #2000 a=8b00010001; b=8b01001011; s=4b1001; m=0; cn=0; #2000 a=8b01001001; b=8b01001001; s=4b1001;

7、 m=0; cn=0; #2000 a=8b11000001; b=8b10101001; s=4b1001; m=0; cn=0; #2000 a=8b01010001; b=8b01000011; s=4b1001; m=0; cn=0; #2000 a=8b00001101; b=8b01001001; s=4b1001; m=0; cn=0;endALU181A alu181a1(.S(s),.A(a),.B(b),.F(f),.M(m),.CN(cn),.CO(co),.FZ(fz);endmodule6实验结果分析 实验结果: 在这个实验中,我以74181ALU为设计实例,用verilog实现,在测试时使用的变量值分别为:a=8b00001101;b=8b01001001;s=4b1001; m=0;cn=0;a,b的值采用了多组进行测试,基本实现了74181ALU的所有功能。

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1