ImageVerifierCode 换一换
格式:DOCX , 页数:16 ,大小:886.95KB ,
资源ID:2791254      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/2791254.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(CAD报告.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

CAD报告.docx

1、CAD报告电子线路CAD课程设计报告病房呼叫系统设计 专业: 班级: 姓名: 学号: 指导老师: 学院日期:2015年 1 月 16 日指导教师评语 目录一 绪论 3二 设计内容 42.1方案论证 4三 原理图设计 10四系统仿真与调试 114.1仿真 114.2仿真过程 124.3仿真结果 13五 PCB版图设计 15六 总结与体会 16七 参考文献 17一 绪论本设计完成的是病房呼叫系统的功能。该设计采用主从结构,监控机构放置在医生值班室内,当病床有呼叫请求时进行报警,并在数码管显示器上显示病床的号码。呼叫源(开关)放在病房内,当病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,

2、并点亮相应床位的指示灯。本设计采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用8线3线优先编码器74LS148来实现优先选择模块主要功能,运用自己设计的小规模逻辑门电路、7段字形译码器74LS47D和共阴极七段数码管来实现译码显示模块主要功能,运用555定时器来实现呼叫模块。本论文阐述了如何利用数字电路的思想,以及应用MULTISIM7仿真软件设计一个病房呼叫系统,测试并实现其功能,并使用protel DXP软件绘制其原理图和PCB版图。二 设计内容 2.1方案论证 数字逻辑电路控制系统主要由各种逻辑

3、元件构成,包括计数器、触发器以及各种门电路,硬件设计思路简单,造价低廉,元件较少,体积小,稳定性好,可靠性和性价比都很高。缺点在于功能实现后电路结构比较复杂,维护起来比较困难。在本设计中,采用数字逻辑电路控制系统,与单片机相比,该电路具有价格低,元件少,体积小,稳定性好,可靠性高的特点。本设计采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用8线3线优先编码器74LS148来实现优先选择模块主要功能,运用自己设计的小规模逻辑门电路、7段字形译码器74LS47D和共阴极七段数码管来实现译码显示模块主要功能

4、,运用555定时器来实现呼叫模块。(1)呼叫显示模块一个或者多个病人通过关闭其对应的呼叫开关,来开启其支路的呼叫显示模块,进而开启整个病房呼叫系统。显示模块采用一般开关驱动,高电平有效,并且每条支路加了限流电阻(133欧姆)使得模块能正常工作。当一名或者多名病人闭合各自的呼叫开关时,其对应的支路接通,并由初始的高电平变为低电平电平,对应的支路显示灯会亮起,并且同时将有效信号传到下一个功能模块优先选择模块。根据设计要求,呼叫显示模块的设计如下图 3-4所示:图 2-4呼叫显示模块设计图(2)优先选择模块根据设计要求,数码管要显示优先级别最高病房的呼叫信号,所以我们要对病房呼叫信号进行优先选择并输

5、出。在本设计中,6号病床为优先级最高,然后依次是5,4,3,2,1号病床。在这里,应用了8线-3线优先编码器(74LS148)进行此功能的实现。其输入为低电平有效,输出编码为反码形式。8线-3线优先编码器(74LS148)功能表如下所示:表2.1 8线-3线优先编码器(74LS148)功能表输入输出EII0I1I2I3I4I5I6I7A2A1A0GSEO1xxxxxxxx11111011111111111100xxxxxxx0000010xxxxxx01001010xxxxx011010010xxxx0111011010xxx01111100010xx011111101010x01111111

6、100100111111111101除此之外,根据使能输出端EO的特性:当使能输入端EI为0时并且74LS148无有效信号输入时,EO输出为0;当使能输入端EI为0时并且74LS148为有效信号输入时,EO输出为1。利用EO端的输出来控制数码显示电路的启动与熄灭复位,以及555定时器的启动与复位。8线-3线优先编码器(74LS148)将输入的低电平有效信号进行优先选择,并且将选择出的信号传到译码显示模块,并且将EO端(由)的输出信号传到555定时器(来启动呼叫模块)和七段字形译码器(74LS47D)来启动数码管显示电路。下图为优先选择模块的电路图:图 2-5数据选择模块电路图(3)译码显示模块

7、此模块由两小模块构成,即译码模块与数码管显示模块。先由译码模块将8线-3线优先编码器(74LS148)输出的三位二进制编码转换成病床号所对应的BCD码,再将该BCD码输入到七段字形译码器(74LS47D)并由七段阴极数码管显示病床号数字。a. 译码模块设计因为优先选择模块输出的三位二进制编码不是所需的病床号码(在BCD码上相差1),所以要用译码将将8线-3线优先编码器(74LS148)输出的三位二进制编码转换成病床号所对应的BCD码。模块译码模块是由基本逻辑门设计而成的小规模逻辑门电路,于是得出输入输出真值转换表,如下:开关输入输出ABCDEF1111001211001031010114100

8、10050111016010110根据表3.2可以列出门电路逻辑式:D=ABC+ABC+ABCE=ABC+ABC+ABCF=ABC+ABC+ABC化简得:D=ABC+ABE=AB+ABCF=BC+AC根据上述的逻辑关系式,可以用门电路设计出如下译码模块逻辑电路图:图 2-7译码模块逻辑电路图b.数码管显示模块通过译码模块处理后的信号成为了与病床相对应的BCD码,此时将该BCD码输入到数码管显示模块,将正确的呼叫床号显示出来。数码管显示模块由七段字形译码器(74LS47D),共阴极七段数码管,以及一些逻辑非门和限流电阻组成。其功能是将输入的BCD码以十进制数字的形式在七段数码管上,测试模块如下图

9、所示:图 2-8数码管显示模块功能电路图(4)呼叫模块蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件。我们本次设计就是用这种设备来模拟,它的外形常见一般呈圆柱状,下面两针长短不同,长的就为正极,短为负极,我们只要在正负极加上正向电压其便可以发出声响。利用555集成时基电路组成脉冲启动型单稳态电路,产生定长时间的方波信号驱动蜂鸣器呼叫。可适当的选择电阻、电容,可将震荡时间准确的控制在要求的5秒钟。 电路原理图如下:图 2-9 呼叫电路原理图当无病房呼叫时,同时74LS148为无有效信号输

10、入,EO输出为0传入555定时器的复位端。当有病房呼叫时,同时74LS148为有效信号输入,EO输出为1传入555定时器的复位端,使得定时器得以启动。三 原理图设计应用MULTISIM7仿真软件设计一个病房呼叫系统,绘制原理图如下:图3-1病房呼叫系统原理图四系统仿真与调试4.1仿真 图4-1待机情况下的病房呼叫系统仿真图4-2.1工作情况下的病房呼叫系统仿真电路图4-2.2工作情况下的病房呼叫系统仿真电路4.2仿真过程1.具体的在MULTISIM7下检验病房呼叫系统的步骤如下:(1)首先触发一个病人信号,看是否产生声、光报警,并且蜂鸣器声音响,数码管是否显示对应的病人编号;直到完成了此功能,

11、进行下一向调试。(2)向调试触发多个病人信号,同样看是否产生声、光报警,并且蜂鸣器声音响,数码管是否显示最优先的病人编号;如能完成此功能那么它是正确的。(3)将最高级别呼叫开关断开后,系统按优先等级显示下一个优先级高的病人编号。(4)当断开所有的呼叫开关后,系统将自动恢复到待机状态:显示灯与显示数码管全灭,555计时器已经复位。 2.另外本设计整体功能如下: (1)当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出呼救声,等待医护人员来护理。 (2)按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 (3)当医护人员处理完最高级别呼救后,把该病房开

12、关关闭,系统按优先等级显示下一个优先级高的病人编号。(4)当医护人员治疗完全部的呼叫病患后,系统将自动恢复到待机状态。4.3仿真结果在MULTISIM7的仿真界面上可以看如下结果:(1) 系统处于待机状态:显示灯与显示数码管全灭,呼叫模块已经复位。结果如下如图所示:图4.3.1 待机状态(2) 首先触发一个病人信号:3号,3号对应的发光二极管发光(红色),蜂鸣器声音响,数码管显示对应的3号病人编号;完成了此功能。结果如下图所示:图4.3.4 3号床呼叫(3) 向调试开启1,2,6病人的呼叫信号,1,2,6号对应的发光二极管发光(红色),蜂鸣器声音响,数码管显示对应的6号病人编号。完成了此功能。

13、结果如下图所示:图4.3.5 1号,2号,6号床呼叫(4) 将最高级别(6号)呼叫开关断开后,系统按优先等级显示下一个优先级高的病人编号(2号)。完成了此功能。结果如下图4.3.6 1号,2号床呼叫(5) 当断开所有的呼叫开关后,系统将自动恢复到待机状态:显示灯与显示数码管全灭,555计时器已经复位。结果如下: 图4.3.7 病房呼叫系统恢复待机状态5 PCB版图设计当断开所有的呼叫开关后,系统将自动恢复到待机状态:显示灯与显示数码管全灭,555计时器已经复位。结果如下:图5-1病房呼叫系统PCB版图六 总结与体会通过这次实验设计,完成了病房呼叫系统的原理设计与仿真实现,以及PCB版图的绘制。主要设计运用模块化的思想将系统分为四大功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。在设计和绘制的过程中我充分理解了74LS148、74LS47D、74LS00、共阴极数码管及NE555的引脚图和它们的性质功能,也对数字电子的理解有所加深。在这次实验中,更熟悉了Multisim7仿真软件的使用,知道了一些元件如蜂鸣器、芯片及各种开关的使用方法以及具体封装。在设计过程,经常会遇到这样的情况,就是设计时认为这样的接法可以行得通,但实际模拟仿真时,总是实现不了。所以为了想出恰当

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1