ImageVerifierCode 换一换
格式:DOCX , 页数:12 ,大小:71.47KB ,
资源ID:2785253      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/2785253.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA函数发生器.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA函数发生器.docx

1、EDA函数发生器EDA设计报告题 目: D/A接口(函数发生器)的设计 专业班级: 电子班 学生姓名: 指导教师: 葛 华 武汉理工大学信息工程学院 2012 年 12 月 日EDA课程设计说明书学生姓名: 王利宾 专业班级: 电子1003班 指导教师: 葛 华 工作单位: 信息工程学院 题 目: D/A接口(函数发生器)的设计 设计并实现一个D/A转换控制器。一、 初始条件 计算机; Quartus II软件;试验箱二、 内容和要求1、学习EDA的读写和编写程序。2、学习利用实验箱去验证程序,并完成设计。3、使用实验仪器上现有的D/A转换器AD5620或AD558。4、采用CPLD完成对AD

2、5620或AD558的控制,并与AD5620或AD558结合完成四种波形的产生(频率相同);递增斜波;递减斜波;三角波;递增斜梯波。三、 时间安排1、 2012年 12月 10日集中,作基础强化训练具体实施计划与报告格式要求的说明;学生查阅相关资料,学习EDA的原理。2、 2012 年 12月11日,思考D/A转化器的设计方案。3、 2012年 12月12日至2012年 12月14日,集中设计程序,完成四种波形的设计输出,并在试验箱上完成试验。4、 2012年12月15日上交成果及报告,进行答辩。指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日目 录摘要 IAbstract I

3、I1绪论 12实验方案 22.1设计要求 22.2设计思路 23函数发生器模块设计 33.1分频模块 33.2递增斜波产生模块 33.3递减斜波产生模块 33.4三角波模块 33.5递增阶梯波模块 33.6输出波形选择模块 43.7 D/A转换模块 43.7.1 D/A转换器工作原理 43.7.2 TLC5620工作原理 44系统联调测试分析 75实验小结 8参考文献 9附录 10摘要应用EDA技术完成一个电子产品的设计,以 CPLD芯片中集成一个在电子生产或检测中通常用到函数波形发生器。在QUARTERS软件开发平台,输入原理图或硬件描述语言VHDL完成的D/A接口的设计文件,系统将自动地完

4、成逻辑编译、综合、仿真、目标芯片的适配编译、下载等的工作。设计的工作是利用编程的方式来进行对系统的功能的描述,在EDA工具的帮助下,应用相应的可编程器件,实现设计的最终结果。使常用到的波形发生器微型化,设计简单化,使用简单化。关键词:VHDL ;D/A接口;函数发生器AbstractApplication of EDA technology for complete a electronic product design, with FPGA chip in electronics manufacturing or integrated a testing usually use functi

5、on waveform generator. In software development platform, QUARTERS input principle chart or hardware description language VHDL completed D/A interface design documents, the system will automatically logic compilation, comprehensive, simulation, target chip adaptive compilation, download, etc. Design

6、work is to make use of programming approach to the function of the system are described, and the EDA tools help, application of corresponding programmable devices, realization design the final result. So that commonly used to the waveform generator miniaturization, design simplicity, use simplified.

7、Keyword:VHDL, D/A interface; Function generator1绪论EDA是电子设计自动化(Electronic Design Automation)的缩写。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使设计者的工作仅局限于利用软件的方式来完成对系统硬件功能的实现,可以说EDA技术的产生与发展是电子设计技术的一个巨大进步

8、。EDA技术融合了众多电子设计技术和计算机辅助技术,使得它在现代电子学方面的应用越来越广泛,也成为电子、电气类大学生必须熟练掌握的一种设计工具。CPLD(Complex Programmable Logic Device)复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。D/A转换器的功能是把二进制数字信号转换为与其数值成

9、正比的模拟信号。TLC5620是串行8位D/A转换芯片,应用CPLD可以完成对TLC5620的控制。TLC5620与CPLD结合之后可以完成函数发生器的基本功能:波形输出。通过合适的VHDL语言程序可以完成递增斜波、递减斜波、三角波、递增阶梯波的输出。2实验方案2.1设计要求要求用CPLD控制AD558完成设计一个函数发生器,该函数发生器能够产生递增斜波、递减斜波、三角波以及阶梯波,并且可以通过选择开关选择相应的波形输出;系统具有复位的功能;通过按键确定输出的波形及确定是否输出波形。CPLD是整个系统的核心,构成系统控制器,波形数据生成器,加法器,运算/译码等功能。2.2设计思路本次D/A接口

10、(函数发生器)的设计中,转换结果是时时输出的。根据对AD558真值表的分析可知:当CE和CS同时置0时AD558的工作模式为时时输出。因此在本次设计中我只需要将CE和CS同时置0即可。根据对AD558功能的分析可知:利用VHDL语言编写源程序通过CPLD完成对AD558的控制,并与AD558结合可以完成四种波形的产生。具体设计方案如下:利用VHDL语言设计0255循环加法计数器、2550循环减法计数器、01280循环加减计数器、0224等梯度循环加法计数器便可分别完成递增斜波、递减斜波、三角波、递增阶梯波的输出。每个计数器还设计了片选端和清零端。当清零端为0时计数器恢复为初始状态。为了实现输出

11、波形模式的选择,在本次设计中我使用了一个四选一选择器:输入为2位逻辑数组输出为4个一位逻辑量。每一种输入状态对于于一种输出状态,每个状态有且仅有一位为1,其余3位皆为0,每个输出量与一种计数器的片选端相连接,即每个输入状态都只选中一种输出状态。由于CPLD实验箱上没有D/A转换器,为了显示设计结果检验设计成果我决定使用7段数码管显示产生波形的数字量。由于输出范围为0255,在十六进制数0HEEH的范围内,而且实验箱上只有8个7段数码管因此我各用2个7段数码管显示4种波形的输出。在每个计数器输出端口和7段译码器中间添加一个输入频率为1000HZ的4选1数据选择器,并利用两个拨码开关实现输入数据的

12、选择。由于实验箱上自带的时钟源频率为20MHZ,为了得到1000HZ的频率,我还设计了一个分频器。以上即为本次D/A接口(函数发生器)的主要设计方案。3函数发生器模块设计根据自上而下的思路进行项目设计。明确每个模块的功能以后,开始编写各个模块的程序。3.1分频模块分频模块的VHDL程序如附录中所示,clk是实验箱时钟的输入端,通过调节x的值改变输出频率的大小,调节y值获得D/A转换器的频率0.5MHz,小于限定最大频率1MHz。3.2递增斜波产生模块递增斜波的VHDL程序如附录所示,其中count是计数信号。程序设计中每当检测到时钟上升沿时,计数器值加1,当增加到最大后清零。计数值增加呈现线性

13、关系,因此输出的波形是递增的斜波。3.3递减斜波产生模块递减斜波的VHDL程序如附录所示,其中count是计数信号。程序设计中每当检测到时钟上升沿时,计数器值减1,当减小到0后赋值255。计数值减小呈现线性关系,因此输出的波形是递减的斜波。3.4三角波模块三角波的VHDL程序如附录所示,其中sen为信号发生辅助信号,当计数的数据不是最大值时,数值做递增运算,当增大到最大时,然后再做递减运算。因此输出的波形便呈现出三角波的形状。3.5递增阶梯波模块递增阶梯波的VHDL程序如附录所示,阶梯波设计的是数据的递增是以一定的阶梯常数向上增加,所以输出的波形呈现是成阶梯状的,而不是,完全呈现是直线增长。3

14、.6输出波形选择模块波形选择模块通过对端口值a、b、c、d分别置1来实现4种波形的选择。3.7 D/A转换模块3.7.1 D/A转换器工作原理D/A转换器的功能是把二进制数字信号转换为与其数值成正比的模拟信号。在D/A参数中一个最重要的参数就是分辨率。它是指输入数字量发生单位数码变化时,所对应输出模拟量(电压或电流)的变化量。实验要求在此次设计中采用的是D/A转换器AD558,但试验箱上芯片为TLC5620,故在本次课程设计中采用串行D/A转换器TLC5620。3.7.2 TLC5620工作原理D/A转换器TLC5620是EDA试验箱上自带的4通道串行8位电压输出D/A转换芯片,接入电压在4.

15、75V到5.25V之间。它与CPLD器件联合使用可以产生几种波形。其芯片管脚如图3.7所示:图3.7 TLC5620芯片管脚外形图其中,DACA、DACB、DACC、DACD为模拟信号输出,REFA、REFB、REFC、REFD为其对应的参考电压,DATA为存放数字量的串行接口,数据范围为0255。VDD、GND分别是芯片输入电压端与接地端,CLK为芯片时钟,其最大时钟频率为1MHz。LDAC、LOAD为时序控制端口。数据在每个时钟下降沿输出DATA端,数据输入过程中,LOAD始终处于高电平,LDAC处于低电平,一旦数据输入完成,LOAD置低,则转换输出,把数据从串行输入寄存器传送到所选择的DAC。当LDAC引脚从高电平变为低电平时DAC输出更新,随后输出端产生模拟电压。数据输入时最高有效位(MSB)在前,其中,tw(LDAC)和tw(LOAD)最小值为250ns。对TLC5620的数字控制是通过一根简单的3路串行总线实现的,其11位命令字包括8位数据位,2位DAC选择位和一位范围位RNG,后者用来选择输出范围是1倍还是2倍。DAC输出通道的选择由A1和A0控制,如图3.9所示:图3.9 TLC5620输出通道选择图4系统联调测试分析通过对以上各模块的细化和分析,最终在QUARTUS 中完成

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1