ImageVerifierCode 换一换
格式:DOCX , 页数:34 ,大小:675.54KB ,
资源ID:27221051      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/27221051.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于FPGA的直流电机伺服系统设计.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于FPGA的直流电机伺服系统设计.docx

1、基于FPGA的直流电机伺服系统设计摘 要 直流电机制造技术比较成熟,具有响应迅速、精度和效率高、控制性能优良、成本低、负载能力大等特点。随着电力电子技术和EDA技术的发展,用基于现场可编程门阵列FPGA的数字电子系统对电动机进行控制,为实现电动机的数字化控制提供了一种新型的有效方法。 在本系统中,中央控制器件采用FPGA,它负责信号处理,可靠性高,速度快;数据采集系统核心部件采用增量式编码器;大功率MOSFET管组成的H桥单极可逆电路组成驱动电路。系统采用前馈-反馈复合算法。使用VHDL语言编程,程序以ISP形式直接配置到FPGA中。 系统利用MAX+plus软件进行建模、仿真,并以此进行控制

2、策略的制定和控制系统的设计,确定各项参数。关键词:伺服系统 VHDL FPGA前馈控制 PI算法 ABSTRACTDirect current motor technique of manufacture is quite mature, it has the characters of rapid response, high precision, great efficiency, excellent controlling performance, low cost and heavy load ability. With the development of electric powe

3、r electronic technology and EDA technology, digital control system based on field programmable gate array FPGA presents a novel and effective strategy for realizing full-digital control of motor. In this system, the central control device use FPGA, it is responsible for the signal processing, the re

4、liability is high, the speed is quick; incremental encoder is used as nucleus device of data acquisition system; unipolar limited H bridges consisted of power MOS transistor composition driving circuit; Arithmetic employs feed-forward control and feedback control in the system. We use VHDL language

5、to program and program is configured into FPGA with ISP mode.We create the model of system and make simulation with MAX+plussoftware, and then, establishment the subsequent control strategy and design the control system, definite each parameter.Key Words: Servo system FPGA VHDL Feed-forward control

6、PI control第1章 绪论1.1 课题研究的目的和意义直流电机由于具有良好的启、制动性能,并且很容易在较大范围内实现平滑调速,因而在很多领域都得到了广泛应用。一个电机系统一般由执行电机,控制器,驱动电路和检测装置4部分组成。在控制系统中,在实时性允许的条件下,用软件资源代替硬件电路功能,可以方便的修改控制策略,修正控制参数,这对于降低系统成本,简化系统的硬件结构,提高系统的性能价格比都具有积极的意义。近年来,专用集成电路(ASIC)发展非常迅猛。复杂可编程逻辑器件CPLD最早由Altera公司推出(即MAX系列),多为Flash、E2PROM架构或乘积项(Product Term)架构的

7、PLD,FPGA最早由Xilinx公司推出,多为SRAM架构或查表(Look Up Table)架构,需外接配置用的EPROM下载,由于Altera的FLEX/ACEX/APEX系列也是SRAM架构,所以通常把Altera的FLEX/ACEX/APEX系列芯片也叫做FPGA。可编程逻辑器件FPGA具有速度快、集成度高、设计灵活的优点。基于FPGA设计的直流电机伺服系统,既可以作为一个独立的模块与嵌入式系统连接,也可以根据需要,随时更改控制策略和方法,无需变更硬件,即可实现电路的重构,使设计大大减少了外围器件,降低了成本,提高了系统的灵活性,满足了系统的功能需求。本文采取的技术路线是在 EDA

8、技术平台上,利用硬件描述语言 VHDL进行数字逻辑设计,进行系统的逻辑功能和时延仿真,并用FPGA 实现其所要求的逻辑功能定义。以VHDL和FPGA为特征的数字系统现场集成技术是将来ASIC设计的主要发展趋势之一。通过本课题研究,学习和掌握数字逻辑的 VHDL 设计方法和系统功能的 FPGA 实现方法,推动了数字系统现场集成技术的应用。1.2 FPGA技术和电机控制发展现状1.2.1 FPGA技术发展形势进入20世纪90年代,FPGA的发展极为迅速,不仅具有电擦除特性,而且出现了边缘扫描及在线编程等高级特性。另外,外围I/O规模扩大了在系统中的应用范围和扩展性。1999年产品集成度达到40万门

9、,2000年就出现了容量为200万门的产品。ASIC 和FPGA 都是集成电路(IC)。专用集成电路(ASIC)如其名称所示,是专门满足某种电子产品或系列产品的特定应用需求的硬接线硅芯片,用于各种消费电子产品和工业产品中。现场可编程门阵列(FPGA)是新兴的IC 技术,包括成千上万个逻辑单元,通过可编程开关连接起来,通过单元的逻辑互联来满足不同的设计要求。除了逻辑块之外,FPGA 的其他可编程元件为I/O块(作为内部单线路和芯片外部引脚的接口)以及互联接口(将其他元件的I/O 信号路由至适当的网络)。可重复编程的功能是此类器件的最大优势。在国内,FPGA技术起步比较晚,但是发展速度却比较快,由

10、于IT产业的迅猛发展使得电子、通讯和器件等各方面的技术都接近了国际水平。但是对于FPGA技术的最根本的方面,国内还只局限于应用,而发展的是相关技术的方面。基于FPGA技术应用的随意性和个性化,我国目前的发展方向就应该针对应用方面。目前,FPGA器件正朝着更高速、更高集成度、更强功能和更灵活的方向发展,它不仅已成为标准器件的一个强有力的竞争对手,也成为掩膜式专用集成电路的竞争者,同时正在不断取代ASIC(专用集成电路)。1.2.2 电机控制发展状况电机作为机电能量转换装置,在这一个多世纪以来,已经应用在国民经济的各个领域中。进入现代社会,随着电力电子技术、计算机技术、控制技术的飞速发展,电机的应

11、用也得到了进一步的发展。传统的“电机控制”、“电气传动”、已经为“运动控制”这种新型的控制技术所代替。电机应用已由过去简单的起停控制、提供动力为目的的应用,上升到对速度、位置、转矩等进行精确的控制。现代电机控制技术离不开功率器件和电机控制器的发展。1.2.3 功率半导体器件的发展自上世纪50年代硅晶闸管问世以来,功率半导体器件的研究取得了飞速发展。60年代后期,可关断晶闸管GTO实现了门级可关断功能,并使斩波工作频率扩展到1KHZ。70年代中期,高功率晶体管和功率MOSFET问世,功率器件实现了全控功能,使得高频应用成为可能。80年代,绝缘栅双极性晶体管(IGBT)问世,它综合了MOSFET和

12、双极性功率晶体管两者的功能。数字控制技术对于功率器件控制有如下优点:1.可严格控制最小开通时间,最小关断时间。2.可严格控制死区时间。1.2.4 电机控制器的发展电机的控制器经历了从传统控制器到数字控制器的发展。由于模拟器件的参数受外界影响较大,而且精度也比较差。相比较而言,数字控制器的可靠性更高,而且还具有参数调整方便、控制精度高、对环境因素不敏感、更改控制策略灵活等优点。随着工业电气化自动控制和家电产品领域对电机控制产品的需求增加,对电机控制技术的要求也不断提高。传统的8位单片机由于其内部体系结构和计算功能等条件限制,在实现各种先进的电机控制理论和高效算法时遇到了困难。使用高性能的数字信号

13、处理器(DSP)来解决电机控制器不断增加的计算量和速度需求是目前最为普遍的做法。将一系列外围设备如模数转换器、数字信号处理器和脉宽调制发生器集成在一起组成复杂的电机控制系统。随着EDA技术的发展,用基于现场可编程门阵列FPGA的数字电子系统对电机进行控制,为实现电动机数字控制提供了一种新型的有效方法。1.3 课题解决的主要问题在传统电机控制领域中,模拟控制器的控制精度比较差,而且受环境和外部条件影响很大,在控制策略的制定和更改方面不够灵活。控制电机自身和被控对象本身也具有非线性严重、耦合性强等特点,所以系统难以建立精确的数学模型。应用FPGA作为中央控制单元,既解决了传统控制方式的诸多问题,又

14、能灵活的对电机伺服系统进行控制与维护。应用DSP作为中央控制器会产生复位、死机等系统问题,而基于FPGA的直流电机伺服系统拥有非常强大的抗干扰性,在效率和灵活性方面都大大得到了提高。由于在设计初期阶段就考虑了电磁兼容性问题,通过采用光耦隔离、无感元件等有效措施成功的提高了仪器和系统的稳定性。第2章 系统控制原理和算法设计2.1 电机调速控制原理他励直流电机相比较异步电动机,虽然结构复杂,价格高,但是在调速性能方面有其独特的优点:第一,调速均匀平滑,可以实现无级调速;第二是调速范围大,调速比可以达到200以上。它所具有的机械特性为: (2-1)由此可见,机械转速的改变可以通过改变电动机的参数来实

15、现,比如对电动机的外加电压,电枢回路中的外串电阻和磁通进行调整就可以调整电动机的转速。通过改变电机电枢外加电压的方法来调节转速是当前应用最广泛的一种方法。由于机械特性硬度不变,调速范围大,便于实现无级调速,而且调速的平滑性较好。另外,调速不需要在电枢回路中串接电阻,调速损耗小,电动机的运行效率高。相比较电枢回路串电阻调速和调磁调速,调压调速方法优势明显。2.2 PWM控制原理采用全控型的开关功率元件进行脉宽调制PWM控制方式在当前成为一种主流控制方式,它很容易在微控制器中实现,从而为直流电动机控制的数字化提供了很好的基础。在对直流电动机电枢电压控制和驱动中,对半导体功率器件的使用上可分为两种方

16、式:线性放大驱动方式和开关驱动方式。本系统采用后者,它是使半导体功率器件工作在开关状态,通过脉宽调制PWM来控制电枢电压,实现调速。控制原理图和输入输出电压波形如下图所示: 图2-1 PWM控制原理图 图2-2 PWM控制输入输出电压图如图2-1所示,当开关管MOSFET的栅极输入电压为高电平时,开关管导通,直流电动机电枢绕组两端有电压Us。t1秒后,栅极输入电压变为低电平,开关管截止,电动机电枢两端电压为0。t2秒后,栅极输入电压重新变为高电平,开关管重复前面的过程。这样,对应着输入电压的高低,直流电动机电枢绕组两端的电压波形如图2-2所示。故,电动机的电枢绕组两端的平均电压Uo为: (2-

17、2)式中为占空比。由此可见,当电源电压不变的时候,电枢的端电压的平均值Uo取决于占空比的大小,改变的值就可以改变端电压的平均值,从而达到调速的目的,这就是PWM调速原理。PWM调速的调整有三种方法:定宽调频法、调宽调频法和定频调宽法。其中,前两种方法需要改变脉冲频率,可能引起系统振荡。目前在直流电机的控制中,主要应用定频调宽法,即保持频率不变,而同时改变和。2.3 系统控制原理和电机模型图2-3系统控制原理图如图2-3所示,测控系统由位置反馈、速度反馈和电流反馈的三闭环结构组成。电流环的作用是限流,防止过流损伤电机;速度环的作用是抑制速度波动,增强系统抗负载扰动的能力,提高稳定性;位置环的作用

18、是实现位置跟踪,它是系统的主控制环。三环结合工作,保证了系统具有良好的静态精度和动态特性,工作平稳可靠。本系统中的直流电机参数如下:额定电压为56V,额定电流12A,空载转速为4100rad/m,减速比为1/160,功率为500W。如果忽略电枢电感以及粘性阻尼系数,则以电枢电压为输入变量,电动机转速(t)为输出变量的直流伺服电动机的传递函数可以简化为: (2-3)式中,电动机的反电动势系数,机电时间常数=10ms。反馈比例系数=15v/131.4,这个反馈系数相当于实际控制系统中的角度传感器,以上推出的传递函数为电压与角度的关系,所以应该在此传递函数基础上再加上一个积分环节,从而实现电枢电压与

19、角度的传递关系。2.4 前馈算法设计电动机转速(t)为输出变量的直流伺服电动机的传递函数为: (2-4)引入的前馈控制能有效提高系统对输入信号的相应速度,部分消除被控对象的积分滞后影响,从而使系统迅速消除偏差,并可以提高系统带宽。根据不变性原理,可以得到: (2-5)将其离散化得到差分方程: (2-6)式中: (2-7) (2-8)其中: ; ;2.5 反馈算法设计本系统中的反馈控制采用的是PI算法,用PI控制来提高系统的稳态控制精度。通过对各项参数进行合理调整,使系统达到响应速度快、控制精度高的最优化。PI的控制规律为: (2-9)其中:是比例系数,是积分时间常数。PI控制器中各环节的作用有

20、以下两点: 比例环节:成比例的反映控制系统的偏差信号error(t),一旦偏差产生,控制器立即产生控制,以减少偏差。 积分环节:主要用来提高系统的误差度,消除静差。采用微处理器,需引入数字PI控制,即以一系列采样时刻点kT代表连续时间t,以矩形法数值积分近似代替积分,可得离散PID表达式: (2-10) (2-11)式中T为采样周期,k为采样序号。为了避免出现积分饱和现象,我们采用积分分离PI算法。即当误差大于0.5V时,不对误差进行积分运算:而当误差小于0.5V时,进行积分运算,用以消除误差。第3章 系统软件设计3.1 VHDL介绍VHDLVery High Speed Integrated

21、 Circuit(VHSIC) Hardware Description Language,是在 7080 年代,由美国国防部资助的 VHSIC 项目开发的产品。这种语言首次开发出来时,其目标仅是作为一个电路文本化的一种标准,为了使人们用文本方式描述设计能够被其它人所理解。同时,也被用来作为模型语言,方便于采用软件来进行模拟。VHDL 于 1987 年由 IEEE1076 标准所确认。1988 年,Milstd454 规定所有为国防部设计的 ASIC 产品必须采用 VHDL 来描述。1993 年,IEEE1076 标准被升级、更新,新的 VHDL 标准为 IEEE1164。1996 年,IEE

22、E1076.3成为 VHDL 综合标准。20 世纪 90 年代,引起数字系统设计方式发生突破性技术变革的技术正是 VHDL技术。VHDL作为 IEEE1076 标准所规范的硬件描述语言,随着各种 EDA 工具和集成电路的普遍认同和推广,目前正在全球范围内先进工业国家的电子系统设计领域获得广泛应用。今天,VHDL 已成为数字电路和系统的描述、建模、综合的工业标准。在电子产业界,无论 ASIC 设计人员,还是系统级设计人员,都需要学习 VHDL 来提高他们的工作效率。由于 VHDL 所具有的通用性,它也成为可支持不同层次的设计者需求的标准语言。VHDL允许设计在不同的 EDA 工具环境之间移植,其

23、模块可以封装成独立单元,重复使用。它支持阶层结构的复杂设计和从门级到系统级的设计,而且可以用于逻辑电路的描述、综合,并可以支持多层次的设计描述。VHDL 和 Verilog 两种语言能够满足数字逻辑设计的这些需要。无论是从文本的组合利用,还是综合,以及对器件和系统的模拟方面,VHDL 都是一个较好的选择。VHDL 非常适用于可编程逻辑器件的应用设计,并正在得以普及。在 500100000 门的大容量 CPLD 和 FPGA 的应用设计中,工程师若采用以往的布尔方程或门级的描述方式,难以快速和有效地完成设计。而 VHDL 却能够提供高级语言结构使工程师很方便地描述大型电路,促进产品的快速上市。它

24、能够提供支持设计单元库的创建,以存储在附属子设计中重复使用的元件。因为 VHDL 是一种标准语言,在综合和模拟工具之间,VHDL 代码具有可移植能力,即设计可用不同的器件来实现。同样,采用 VHDL实现一个设计从可编程器件向 ASIC的转换也是便利的。使用 VHDL,你可以快速描述和综合 5000、10000 或更多门的电路,而同类型的设计,如果采用寄存器/传输门的图形输入或布尔方程来描述,往往需要 1个人花几个月的工作量。VHDL主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特性的语句以外,VHDL的语言形式和描述风格与句法十分类似于一般的计算机高级语言。VHDL的程序结

25、构特点是将一项工程设计分为外部和内部,即设计实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种设计实体分为内外部分的概念是VHDL系统设计的基本点。它的特点如下: VHDL语言具有更强的行为描述能力,从而决定了它称为系统设计领域最佳的硬件描述语言。 VHDL既是一种硬件电路描述和设计语言,也是一种标准的网表格式,还是一种仿真语言,随时可对设计进行仿真模拟。 VHDL的行为描述能力和程序结构决定了它具有大规模设计的分解和已有设计的再利用功能,符合市场所需求的,大规模高效、高速的完成必须由多人甚至多个开发组共同并行工作才能实

26、现的特点。 对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自觉地把VHDL描述设计转变成门级网表。 VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构和最终实现的目标器件是什么,而进行独立的设计。 由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需要改变端口类属参量或函数,就能轻易地改变设计的规模和结构。3.2 MAX+plus介绍MAX+plus(Multiple Array Matrix and Programmable Logic User System)是ALTERA公司推出的具有完全集成化、可视化的设

27、计环境,具有工业标准EDA工具接口,可运行多种操作系统。MAX+plus提供了一种与结构无关的设计环境,设计人员无须精通器件内部结构,只需运用自己熟悉的输入工具进行设计,就可以通过MAX+plus把这些设计转换为最终结构需要的格式。MAX+plus提供丰富的逻辑功能供设计人员调用,其中包括74系列全部器件的等效宏功能库和多种特殊的宏功能(Macro Function)模块以及参数化的宏功能(Mage function)模块。MAX+plus还具有开放核的特点,允许设计人员添加自己的宏功能模块。充分利用这些逻辑功能模块,还可以大大减轻设计的工作量,成倍缩短开发周期。概括起来,MAX+plus开发

28、系统具有如下几个特点: 机构无关。MAX+plus支持ALTERA公司数个系列可编程逻辑器件门数为600250000门,提供了业界真正与结构无关的可编程逻辑设计环境。MAX+plus的编译器还提供了强大的逻辑综合与优化功能以减轻用户的设计负担。 集成化的界面。MAX+plus提供了设计输入、设计处理和仿真校验等全集成化的开发工具,可以加快动态调试,缩短开发周期。 模块组合式设计工具。设计者可从各种设计输入、设计处理和设计校验选项中进行选择,从而使设计环境用户化。需要时,还可以保留初始的工具,并增添新的性能。 支持多种HDL语言。MAX+plus支持多种流行的HDL描述语言,包括VHDL,Ver

29、ilog HDL和AHDL。 良好的开放性和数据互换性。MAX+plus由设计输入、项目处理、项目校验和器件编程四个部分。使用MAX+plus的设计过程包括一下几步,若任一步走错或未达到设计要求则应该修改设计,然后重复各步如图3-2所示:图3-1 MAX+plus的设计流程3.3软件框图组成系统软件算法如图3-2所示;图3-2 系统软件流程图3.4 主要控制模块在FPGA中,所采用的编程语言是硬件描述语言VHDL。它采用从上而下的设计方法,利用其EDA平台的通用性、具体硬件结构的无关性以及优秀的可移植性等特点来实现整个系统的软件功能。3.4.1 编码器控制模块在ALTERA的开发工具MAX+p

30、lus中,输入电路原理图,得到如下图所示的仿真图形,当A相超前B相时,AOUT输出4倍脉冲,DA【7.0】为编码器正转时4倍脉冲个数;反之,BOUT输出脉冲,DB【7.0】为反转时4倍脉冲个数。利用DA【7.0】和DB【7.0】就能够方便的实现编码器的可逆计数。3-3增量编码器仿真图3.4.2 前馈控制模块图3-4 前馈控制仿真图实现速度前馈和加速度前馈算法,改善系统跟踪效果。3.4.3 反馈控制模块图3-5 反馈控制仿真图 PI控制模块:采用积分分离PI算法,避免积分饱和现象,且参数根据实际控制结果可调。3.4.4 数控分频器模块 FPGA系统采用单时钟作为全局时钟,根据各模块要求,分别产生

31、所需频率。 图3-6 分频流程图图3-7 数控分频器仿真图3.4.5 PWM波生成模块图3-8 PWM波生成流程图图3-9 PWM生成仿真图根据控制量产生的控制信号分别控制电机的正转和反转。第4章 系统硬件设计4.1 FPGA技术FPGA的电路结构主要是基于SRAM工艺的查找表结构。4.1.1 技术简介FPGA(Field Programmable Gate Array,现场可编程门阵列)技术是近几年来计算机与电子技术领域的又一次革命,广泛应用于航天、通信、医疗、工业等各个领域,受到了电子工程设计人员的广泛关注和普遍欢迎。计算机、电子通信领域的工程师掌握FPGA技术已势在必行。FPGA具有体系结构完整和逻辑单元灵活、集成度高以及适用范围广等特点。因此FPGA已经渗透到人们日常生活的各个方面。手机、电视、数码相机、洗衣机、电冰箱、空调,甚至电饭锅、手表领域里,都能看到它们的身影。工业自动化控制、通信、仪器仪表、汽车、船舶、航空航天、军事设备、消费类电子产品等领域更是它们的天下。具体讲,FPGA在硬件系统设计中具有以下优点: 可以缩小体积、减轻重量、降低功耗,且具有高集成度和高可靠性; 易于获得高性能,能将系统设计、电路设计和工艺设计三者紧密结合起来,这种一体化的设计有利于获得前所未有的高性能系统; 软件模拟仿真下载到FPGA并制成专用IC后,设计

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1