ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:33.91KB ,
资源ID:27002469      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/27002469.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(fpga流水灯课程设计.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

fpga流水灯课程设计.docx

1、fpga流水灯课程设计大规模数字逻辑课程设计题目: 流水灯控制 专 业 电信 班 级 091 学 号 8 学生姓名 dashitou 设计时间 2011-2012学年上学期 教师评分 2011年 10 月 29 日 一、概述流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。二、EDA技术发展及介绍(一)EDA技术的介绍EDA是电子设计自动化(Electronic Design Automation)缩写,EDA技术是在电子CAD技术

2、基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。

3、EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时以可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。硬件描述语言

4、HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。目前,就FPGA/CPLD开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。 (二)EDA技术的发展趋势从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用文泛、工具多样、软件功能强大。中国EDA市场

5、已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员工发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、

6、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方向发展。外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。 在EDA软件开发方面,目前主要集中

7、在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放 。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具有各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平沿很有限,需迎头赶上。目前的EDA产业正处在一场大变革的前夕,对更低成本、更低功耗的无止境追求和越来越短的产品上市

8、压力正迫使IC供应商提供采用0.13m或以下的千万门级的系统芯片,而这些系统芯片的高复杂性设计更加依赖于EDA供应商提供全新的设计工具和方法以实现模拟前后端、混合信号和数字电路的完全整合。然而,这些新的需求为当代EDA工具和设计方法带来了不少新的挑战与机会。三、程序代码(一)分频器代码module clk_div(clk_out,clk_in); input clk_in; output clk_out; reg clk_out; reg25:0 counter; /50_000_000=1011_1110_1011_1100_0010_0000_00parameter cnt=25_000_

9、000; / 50MHz is the sys clk,50_000_000=2FAF080 always (posedge clk_in) begin counter=counter+1; if(counter=cnt/2-1) begin clk_out=!clk_out; counter=0; end endendmodule(二)分频器block(三)主程序代码module LED( clk, led ); input clk; output 7:0led;/ 输出端口定义为寄存器型 reg 7:0 led; reg 8:0 state; always (posedge clk)/ a

10、lways语句,表示每当CLK的上升沿到来时,完成begin-end之间语句的操作 begin state = state + 1; / one clk,one state case(state) 1: led = 8b; /the 1st state,7 led on,one dark 2: led = 8b; / the 2nd one 3: led = 8b; 4: led = 8b; 5: led = 8b; 6: led = 8b; 7: led = 8b; 8: led = 8b; 9: led = 8b; 10: led = 8b; 11: led = 8b; 12: led =

11、 8b; 13: led = 8b; 14: led = 8b; 15: led = 8b; 16: led = 8b; /the 1st state,7 led on,one dark 17: led = 8b; 18,19: led = 8b; /the 1st state,7 led on,one dark 20,21: led = 8b; / the 2nd one 22,23: led = 8b; 24,25: led = 8b; 26,27: led = 8b; 28,29: led = 8b; 30,31: led = 8b; 32,33: led = 8b; 34,35: le

12、d = 8b; 36,37: led = 8b; 38,39: led = 8b; 40,41: led = 8b; 42,43: led = 8b; 44,45: led = 8b; 46,47: led = 8b; 48: led = 8b; /the 1st state,7 led on,one dark 49: led = 8b; 50,51,52: led = 8b; /the 1st state,7 led on,one dark 53,54,55: led = 8b; / the 2nd one 56,57,58: led = 8b; 59,60,61: led = 8b; 62

13、,63,64: led = 8b; 65,66,67: led = 8b; 68,69,70: led = 8b; 71,72,73: led = 8b; 74,75,76: led = 8b; 77,78,79: led = 8b; 80,81,82: led = 8b; 83,84,85: led = 8b; 86,87,88: led = 8b; 89,90,91: led = 8b; 92,93,94: led = 8b; 95: led = 8b; /the 1st state,7 led on,one dark 96: led = 8b; 97,98,99,100: led = 8

14、b; /the 1st state,7 led on,one dark 101,102,103,104: led = 8b; / the 2nd one 105,106,107,108: led = 8b; 109,110,111,112: led = 8b; 113,114,115,116: led = 8b; 117,118,119,120: led = 8b; 121,122,123,124: led = 8b; 125,126,127,128: led = 8b; 129,130,131,132: led = 8b; 133,134,135,136: led = 8b; 137,138

15、,139,140: led = 8b; 141,142,143,144: led = 8b; 145,146,147,148: led = 8b; 149,150,151,152: led = 8b; 153,154,155,156: led = 8b; 157: led = 8b; /the 1st state,7 led on,one dark 158: begin led = 8b;state=0;end default: state = 0; / default,8b endcase endendmodule(四)主程序block(五)总的block模块四、管脚分配实体意义程序中的变量

16、EFC35F484C7中的实际分配时钟输入clkPIN_L1ledLed0PIN_W16ledLed1PIN_V14ledLed2PIN_Y13ledLed3PIN_AA16ledLed4PIN_U14ledLed5PIN_AA17ledLed6PIN_W15ledLed7PIN_AA18五、设计原理要求采用可编程逻辑器件实现一个流水灯控制电路,LED灯能连续发出三种不同的流水显示形式,先是以0.5s的间隔一次来回,接着是8个灯全亮一次,后 全灭。然后以1s的间隔一次来回,接着是8个灯全亮一次,后 全灭。然后以1.5s的间隔一次来回,接着是8个灯全亮一次,后 全灭。然后以2s的间隔一次来回,接

17、着是8个灯全亮一次,后 全灭。依次循环六、心得体会通过这次课程设计,我拓宽了知识面,锻炼了能力,综合素质得到较大提高。而安排课程设计的基本目的,是在于通过理论与实际的结合、人与人的沟通,进一步提高思想觉悟和领悟力。尤其是观察、分析和解决问题的实际工作能力。它的一个重要功能,在于运用学习成果,检验学习成果。运用学习成果,把课堂上学到的系统化的理论知识,尝试性地应用于实际设计工作,并从理论的高度对设计工作的现代化提出一些有针对性的建议和设想。检验学习成果,看一看课堂学习与实际工作到底有多大距离,并通过综合分析,找出学习中存在的不足,以便为完善学习计划,改变学习内容与方法提供实践依据。实际能力的培养

18、至关重要,而这种实际能力的培养单靠课堂教学是远远不够的,必须从课堂走向实践。这也是一次预演和准备毕业设计工作。通过课程设计,让我们找出自身状况与实际需要的差距,并在以后的学习期间及时补充相关知识,为求职与正式工作做好充分的知识、能力准备,从而缩短从校园走向社会的心理转型期。课程设计促进了我系人才培养计划的完善和课程设置的调整。课程设计之后,我们普遍感到不仅实际动手说,这应该是个警示,在剩下的大学生活里,我应该好好珍惜,好好学习各方面的知识。七、参考文献1 全国大学生电子设计竞赛组委会.全国大学生电子设计获奖作品汇编J.北京理工大学出版社,2005。2 康华光 .电子技术基础-数字部分M.高等教育出版社,1998。3 谭会生等 . EDA技术及应用M.西安电子科技大学出版社,20014 潘松,等.EDA技术实用教程M.科学出版社,20065 雷伏容 HDL电路设计M.清华大学出版社,20066 Charles H.Roth,等.数字系统设计与HDLM.电子工业出版社,2008

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1