ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:326.21KB ,
资源ID:26978438      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/26978438.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(最新VHDL答案.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

最新VHDL答案.docx

1、最新VHDL答案诚信应考,考试作弊将带来严重后果!华南理工大学期末考试 数字系统设计 试卷注意事项:1.考前请将密封线内各项信息填写清楚;2. 所有答案请直接答在试卷上(或答题纸上);3 考试形式:开(闭)卷;4. 本试卷共 大题,满分100分,考试时间120分钟。题号-一一二二. 三四五总分得分评卷人4.基于EDA软件的FPGA / CPLD设计流程,以下流程中哪个是正确的: (C )A.原理图/HDL文本输入t适配t综合t时序仿真t编程下载t功能仿真t硬件测试B.原理图/HDL文本输入t功能仿真t综合t时序仿真t编程下载t适配t硬件测试;C.原理图/HDL文本输入t功能仿真t综合t适配t时

2、序仿真t编程下载t硬件测试D.原理图/HDL文本输入t适配t时序仿真t编程下载t功能仿真t综合t硬件测试。5.关于综合,从输入设计文件到产生编程文件的顺序正确的是: (B)A .逻辑综合t高层次综合t物理综合;B.高层次综合 f逻辑综合t物理综合;C.物理综合f逻辑综合f高层次综合;D.高层次综合 f 逻辑综合 f 时序综合;6.进程中的信号赋值语句,其信号更新是 ( C )A.按顺序完成;B.比变量更快完成;C.在进程的挂起时完成;D.都不对。7.下列不属于 VHDL 基本程序结构是( A )A CONFIGURATION 定义区 BARCHITECTURE 定义区C. USE定义区D EN

3、TITY 定义区8.下面对利用原理图输入设计方法进行数字电路系统设计, 那一种说法是不正确 的_( C)。A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;B.原理图输入设计方法一般是一种自底向上的设计方法;C.原理图输入设计方法无法对电路进行功能描述;D.原理图输入设计方法也可进行层次化设计。二.简答题( 22 分)1.简述利用 EDA 技术设计数字系统的特点。1)用软件的方式设计硬件;2)用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成;3)采用自顶向下的设计方法;4)设计过程中可用有关软件进行各种仿真;5)系统可现场编程,在线升级;6)整个系统可集成在一个

4、芯片上,体积小、功耗低、可靠性高。2.什么是信号建立时间 ?(2 分)什么是信号保持时间?( 2分)建立时间是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间。 保持时间是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间。3.仿真分为哪几个层次的仿真?( 3 分)1)电路级仿真;2)逻辑仿真;3)开关级仿真;4)算法仿真4.实验中,对某程序进行编译时出现错误提示: “VHDL Design File aaa.vhdmust contain an entity of the same name.( 4 分)这是什么原因?如何修改?产生这个错误的原因是 ENTITY的名字与VHDL文件

5、名字不一样。只需要把 ENTITY的名字改为aaa”或者把aaa.vhd”改成ENTITY的名字就可以了。5实验中,如果编译时出现“ Can open VHDL WORK ” ”这样的错误提示。 这又是什么原因,如何修改? ( 4分)出现这个错误的原因是把 VHD文件直接放在了硬盘根目录下, 只需要建立一个文件夹就可以解决。6.用图示法描述一般时序系统的模型,并作简要说明。 (3分)时序电路的一般模型,由组合逻辑电路&具有记忆功能的存储器组成。系统 的当前状态保存在存储器或寄存器中,组合逻辑也可以分为次态产生逻辑与输出 逻辑两部分。系统的次态由系统的当前状态与输入有关, 同样地,系统的输出也

6、是由存储器的状态与输入信号一起决定的。三根据下述VHDL程序段,画出相应的逻辑示意图,并加以简单说明(共10分, 每题5分)1、Process(clk)Beg inIf (clk = 1 )Qhedata; End if;End process;这是一个D触发器。Date w Qcik tci2、ENTITY example ISPORT ( a, b , c, g1, g2a, g2b: IN std_logic;y: OUT std_logic_vector (7 DOWNTO 0);END ENTITY;ARCHITECTURE behav OF example ISSIGNAL in

7、data : std_logic_vector(2 DOWNTO 0);BEGINin datayyyyyyyy y=XXXXXXXX;END CASE;ELSEy=11111111;END IF;END PROCESS;END behav;这是一个三八线译码器。四综合题(52分)1.根据原理图写出相应的VHDL程序:(10 分)LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY problem4 ISPORT(DIN,CLK:IN std_logic;QOUT:OUT stdo gic);END problem4;ARCHITECTURE beha

8、ve OF problem4 IS SIGNAL Q:std_logic;BEGINDFF:PROCESS(CLK)BEGINIF (CLKeve nt AND CLK=1) THEN Q=DIN; END IF;END PROCESS;LATCH:PROCESS(Q,CLK)BEGINIF (CLK=1) THEN QOUT=NOT Q;END IF;END PROCESS;END behave;2.有一个传输门,根据下述赋值语句和给定的波形,画出对应 Z1,Z2, Z3和Z4的波形图。(4分)(1) Z1=Vi,惯性延时时间为4ns(2) Z2 =Vi after 4 ns ,惯性延时时间

9、为4ns(3) Z3 = TRANSPORT Vi AFTER 3 ns ,惯性延时时间为 4ns(4) Z4=Vi,传输门的 Tr=4ns,Tf=2ns3.根据ASM图画出时序图。(4分)iZJ C01I-W1ClockJTU-TLTL-nASM(a)ZArchitecture asm of traffic istype state is (GR);signal present state,next state:state;Beg inseq: process(reset, clk)beg inif (reset= 1) then presentstate=G;elsif (clkeve n

10、t and clk=1) the nprese nt state=n ext state;end if;end process;com: process (present_state, car, timed)beg in start_timermajor green =1; minor green =0;if (car= 1)the n start timer= 1) next state=R;elsen ext state major_gree n=0 ; minor_green= 1; if (timed = 1 ) then n ext_state=G;else next_state=R

11、; end if ;end case;end process ;End asm;5.下图所示电路是某数字系统的控制器。其中Z是系统数据处理器的状态信号;C1和C2是控制器输出的控作信号。试画出该控制器的 ASM图。(10分)ClC2elkC仁画C2=FR现态次态囚ElZQ劣0o0100o1111o0001o1001100011100ASM图如下:6.试编程实现以下功能。(14分)如下图所示,某数字系统有三条输入线分别为 CLK、CONTROL和DATA。有一条输出应答线READY和8位输出总线Z。从DATA数据线上输入的是8位串行数据。串行输入数据从低位到高位依次输入。当系统准备接受新的数据时

12、READY信号置1,并监视输入信号 CONTROL。当CONTROL线在 连续二个时钟周期为1时,系统将READY信号恢复为0。随后的8个时钟 由DATA线依次输入8位数据。之后一个时钟,再次将 READY信号置1, 并将8为数据并行输出到数据总线Z。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY problem6 ISPORT(DATA,CONTROL,CLK:IN STD_LOGIC;Z:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); READY:OUT ST

13、D_LOGIC);END problem6;ARCHITECTURE behav OF problem6 ISBEGINPROCESS(CLK,CONTROL,DATA)VARIABLE CNT:INTEGER RANGE 0 TO 9:=0;VARIABLE TMP:STD_LOGIC_VECTOR(7 DOWNTO 0):=00000000; BEGINIF (CLKEVENT AND CLK=1) THENIF CNT=10 THENZ=TMP;CNT:=0;READY=2 THEN TMP(9-CNT):=DATA; CNT:=CNT+1; READY=0;ELSIF CONTROL=0 AND CNT=1 THEN CNT:=0; READY=1;ELSIF CONTROL=1 AND CNT=1 THEN CNT:=CNT+1;READY=0;ELSIF CONTROL=1 AND CNT=0 THEN CNT:=CNT+1;READY=1;ELSE READY=1;END IF;END IF;END PROCESS;END behav;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1