ImageVerifierCode 换一换
格式:DOCX , 页数:14 ,大小:18.96KB ,
资源ID:26732610      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/26732610.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(常用7种软件滤波精编版.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

常用7种软件滤波精编版.docx

1、常用7种软件滤波精编版随机误差是有随机干搅引起的,其特点是在相同条件下测量同一个量时,其大小和符号做无规则变化而无法预测,但多次测量结果符合统计规律。为克服随机干搅引入的误差,硬件上可采用滤波技术,软件上可以采用软件算法实现数字滤波,其算法往往是系统测控算法的一个重要组成部分,实时性很强,采用汇编语言来编写。采用数字滤波算法克服随机干搅引入的误差具有以下几个优点:(1)数字滤波无须硬件,只用一个计算过程,可靠性高,不存在阻抗匹配问题,尤其是数字滤波可以对频率很高或很低的信号进行滤波,这是模拟滤波器做不到的。(2)数字滤波是用软件算法实现的,多输入通道可用一个软件“滤波器”从而降低系统开支。(3

2、)只要适当改变软件滤波器的滤波程序或运行参数,就能方便地改变其滤波特性,这个对于低频、脉冲 干搅、随机噪声等特别有效。常用的数字滤波器算法有程序判断法、中值判断法、算术平均值法、加权滤波法、滑动滤波法、低通滤波法和复合滤波法。1程序判断法:程序判断法又称限副滤波法,其方法是把两次相邻的采样值相减,求出其增量(以绝对值表示)。然后与两次采样允许的最大差值Y进行比较,Y的大小由被测对象的具体情况而定,若小于或等于Y,则取本次采样的值;若大于Y,则取上次采样值作为本次采样值,即yn- yn-1|Y,则yn有效,yn-yn-1|Y,则yn-1有效。式中yn第n次采样的值;Yn-1第(n-1)次采样的值

3、;Y相邻两次采样值允许的最大偏差。设R1和R2为内部RAM单元,分别存放yn-1和yn,滤波值也存放在R2单元,采用MCS-51单片机指令编写的程序判断法子程序如下:付表2中值滤波法即对某一参数连续采样N次(一般N为奇数),然后把N次采样值按从小到大排队,再取中间值作为本次采样值。设DATA为存放采样值的内存单元首地址,SAMP为存放滤波值的内存单元地址,N为采样值个数,用MCS-51指令编写的中值滤波子程序如下:副表3算术平均值滤波算法算术平均滤波法就是连续取N次采样值进行算术平均,其数学表达式是:Y=yiy=1/N yii=1N式中yN个采样值的算术平均值;Yi 第i个采样值;设8次采样值

4、依次存放在地址DATA开始的连续单元中,滤波结果保留在累加器A中,程序如下:副表4.加权平均滤波法算术平均滤波法存在前面所说的平滑和灵敏度之间的矛盾。采样次数太少,平滑效果差,次数太多,灵敏度下降,对参数的变化趋势不敏感。协调两者关系,可采用加权平均滤波,对连续N次采样值,分别乘上不同的加权系数之后再求累加和,加权系数一般先小后大,以突出后面若干采样的效果,加强系统对参数的变化趋势的辩识,各个加权系数均为小于1的小数,且满足总和等于1的约束条件,这样,加权运算之后的累加和即为有效采样值。为方便计算,可取各个加权系数均为整数,且总和为256,加权运算后的累加和除以256(即舍去低字节)后便是有效

5、采样值。设每批采样8个数据,依次存放在地址DATA开始的单元中,各加权系数是用一个表格存放在ROM中,MCS-51指令编写的算术平均滤波程序如下:副表5.滑动平均滤波法:以上介绍的各种平均滤波算法有一个共同点,即每取得一个有效采样值必须连续进行若干次采样,当采样速度较慢(如双积分型A/D转换)或目标参数变化较快时,系统的实时性不能保证,滑动平均滤波算法只采样一次,将这一次采样值和过去的若干次采样值一起求平均,得到的有效采样值即可投入使用,如果取N个采样值求平均,RAM中必须开辟N个数据的暂存区。每新采样一个数据便存入暂存区,同时去掉一个最老的数据,保持这N个数据始终是最近的数据,这种数据存放方

6、式可以用环行队列结构方便的实现。设环行队列为40H-4FH连续16个单元,RO作为队尾指针,滤波程序如下:副表6.低通滤波法:将普通硬件RC低通滤波器的微分方程用差分方程来表求,变可以采用软件算法来模拟硬件滤波的功能,经推导,低通滤波算法如下:Yn=a* Xn+ (1-a) *Yn-1式中Xn本次采样值Yn-1上次的滤波输出值;a滤波系数,其值通常远小于1;Yn本次滤波的输出值。由上式可以看出,本次滤波的输出值主要取决于上次滤波的输出值(注意不是上次的采样值,这和加权平均滤波是有本质区别的),本次采样值对滤波输出的贡献是比较小的,但多少有些修正作用,这种算法便模拟了具体有教大惯性的低通滤波器功

7、能。滤波算法的截止频率可用以下式计算:fL= a/2Pitpi为圆周率 3.14式中a滤波系数;t采样间隔时间;例如:当t=0.5s(即每秒2次),a=1/32时;fL=(1/32)/(2*3.14*0.5)=0.01Hz当目标参数为变化很慢的物理量时,这是很有效的。另外一方面,它不能滤除高于1/2采样频率的干搅信号,本例中采样频率为2Hz,故对1Hz以上的干搅信号应采用其他方式滤除,低通滤波算法程序于加权平均滤波相似,但加权系数只有两个:a和1-a。为计算方便,a取一整数,1-a用256-a,来代替,计算结果舍去最低字节即可,因为只有两项,a和1-a,均以立即数的形式编入程序中,不另外设表格

8、。虽然采样值为单元字节(8位A/D)。为保证运算精度,滤波输出值用双字节表示,其中一个字节整数,一字节小数,否则有可能因为每次舍去尾数而使输出不会变化。设Yn-1存放在30H(整数)和31H(小数)两单元中,Yn存放在32H(整数)和33H(小数)中。滤波程序如下:副表结束语:微型计算机在仪器仪表系统中的成功应用,使传统的电子仪器以及复杂的跟踪测量装置发生了许多革命性变化。其中一个突出表现就是一个系统中包含了智能性运作。微机具有很强的分析和运算能力,智能系统可完成复杂的数据处理,智能系统采用软件硬件想结合的方法进行随机误差的数字滤波和系统误差的修正,可以实现实时修正,较准测量数据,这些都是传统

9、仪器难以比拟的。#include #include #include #include #include source.hmain()filter_1();filter_2();filter_3();filter_4();filter_5();filter_6();filter_7();filter_8();filter_9();filter_10();unsigned char get_ad(void)static unsigned char i;return i+;void delay(void)unsigned char i=0;while(1)i+;if(i20) return;#de

10、fine A 10 /设置两次采样允许的最大偏差值char value; /上次采用后的有效值变量char filter_1(void)char new_value; /本次采样值变量new_value=get_ad(); /读入本次采样值if(new_value-valueA)|(value-new_valueA) /比较是否超出最大偏差值return value; /如果超出,返回上次的有效值作为本次的有效值return new_value;/ 如果没有超出,返回本次的采样值作为本次的有效值#define N 11 /设置连续采样的次数char filter_2(void)char val

11、ue_bufN; /缓存N次采样值的存储变量char count,i,j,temp; /i,j是冒泡排序的下标变量,count是采样数据读入的下标变量/temp是临时变量for(count=0;countN;count+) /连续读入N个采样值value_bufcount=get_ad();delay();for(j=0;jN;j+) /气泡排序,由小到大for(i=0;ivalue_bufi+1)temp=value_bufi;value_bufi=value_bufi+1;value_bufi+1=temp;return value_buf(N-1)/2; /将排序后N个采样值的中间值作为

12、最后结果返回#undef N#define N 12 /设置每组参与平均运算的采样值个数char filter_3()int sum=0; /求和变量,用于存储采样值的累加值char count;/采样数据读入的下标变量for(count=0;countN;count+) /连续读入N个采样值,并累加sum+=get_ad();delay();return (char)(sum/N); /讲累加值进行平均计算作为返回值#undef N#define N 12 /设置FIFO队列的长度char value_bufN;/FIFO队列变量char i=0; /队列的下标变量char filter_4

13、()char count;int sum=0;value_bufi+=get_ad();if(i=N) i=0;for(count=0;countN;count+)sum+=value_bufcount;return(char)(sum/N);#undef N#define N 12 /设置每组采样值的数量char filter_5()char count,i,j,temp; /i,j是冒泡排序的下标变量,count是采样数据读入的下标变量char value_bufN; / 缓冲N个采样值的存储变量int sum=0; /求和变量,用于存储采样值的累加值for (count=0;countN

14、;count+) /连续读入N个采样值value_bufcount = get_ad();delay();for (j=0;jN-1;j+) /气泡排序,由小到大for (i=0;ivalue_bufi+1 )temp = value_bufi;value_bufi = value_bufi+1;value_bufi+1 = temp;for(count=1;countN-1;count+)sum += value_bufcount; /去掉两端的最小和最大采样值,对中间的N-2个采样值求和return (char)(sum/(N-2);/ 返回中间N-2个采样值的平均值#undef A#un

15、def N#define A 10 /设置两次采样允许的最大偏差值#define N 12 /设置每组参与平均运算的采样值个数char value; /上次采用后的有效值变量char filter_6()char new_value; /本次采样值变量int sum=0; /求和变量,用于存储采样值的累加值char count;/采样数据读入的下标变量for(count=0;countA)|(value-new_valueA) /比较是否超出最大偏差值new_value=value; /如果超出,返回上次的有效值作为本次的有效值sum+=new_value; /累加采样的有效值value=ne

16、w_value;delay();return (char)(sum/N); /将累加值进行平均计算作为返回值#define COE 50 /定义加权系数char value; /上一个采样值变量char filter_7()char new_value; /本次采样值变量new_value = get_ad();return (100-COE)*value + COE*new_value; /返回的本次滤波结果#undef N#define N 12 /设置FIFO队列的长度char code coeN = 1,2,3,4,5,6,7,8,9,10,11,12; /加权系数char code

17、sum_coe = 1+2+3+4+5+6+7+8+9+10+11+12;char filter_8()char count; /采样数据读入的下标变量char value_bufN; /缓存N个采样值的存储变量int sum=0; /求和变量,用于存储采样值的累加值for (count=0;countN;count+)value_bufcount = get_ad(); /读入采样值delay();for (count=0;count=N) return new_value; /如果本次采样值与当前有效值不相等,/且计数器溢出,返回本次采样值delay();new_value = get_a

18、d();return value; /如果本次采样值与当前有效值相等,则返回当前有效值#undef A#undef N#define A 10 /设置两次采样允许的最大偏差值#define N 12 /设置计数器溢出值char value; /有效值变量char filter_10()char count=0; /计数变量char new_value; /本次采样值变量new_value = get_ad(); /读入本次采样值if(new_value-valueA)|(value-new_valueA) /比较是否超出最大偏差值new_value=value; /如果超出,返回有效值作为本次的采样有效值while (value !=new_value);count+; /计数器加1if (count=N) return new_value; /如果本次采样值与当前有效值不相等,/且计数器溢出,返回本次采样值delay();new_value = get_ad();return value; /如果本次采样值与当前有效值相等,则返回当前有效值

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1