ImageVerifierCode 换一换
格式:PPT , 页数:22 ,大小:526.50KB ,
资源ID:2646375      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/2646375.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(数字电路第四章2.ppt)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

数字电路第四章2.ppt

1、4.2 组合逻辑电路的设计组合逻辑电路的设计组合逻辑电路的设计:根据实际逻辑问题,求出所要求逻辑组合逻辑电路的设计:根据实际逻辑问题,求出所要求逻辑功能的最简单逻辑电路。功能的最简单逻辑电路。电路要最简:所用器件数最少;器件种类最少;器件之间的电路要最简:所用器件数最少;器件种类最少;器件之间的连线也最少。连线也最少。1.1.逻辑抽象:根据实际逻辑问题的因果关系确定输入、逻辑抽象:根据实际逻辑问题的因果关系确定输入、输出变量,并定义逻辑状态的含义;输出变量,并定义逻辑状态的含义;2 2、根据逻辑描述列出真值表;、根据逻辑描述列出真值表;3 3、由真值表写出逻辑表达式、由真值表写出逻辑表达式;5

2、 5、画出逻辑图。画出逻辑图。(1 1)采用采用SSI-与或式:乘积项少;乘积项所含变量数少;与或式:乘积项少;乘积项所含变量数少;4 4、根据器件的类型、根据器件的类型,简化和变换逻辑表达式简化和变换逻辑表达式(2 2)采用采用MSI-所用芯片数最少所用芯片数最少;(3 3)采用采用PLD组合逻辑电路的设计步骤组合逻辑电路的设计步骤 例例1 1 某火车站有特快、直快和慢车三种类型的客运列车进出,某火车站有特快、直快和慢车三种类型的客运列车进出,试用两输入与非门和反相器设计一个指示列车等待进站的逻试用两输入与非门和反相器设计一个指示列车等待进站的逻辑电路,辑电路,3 3个指示灯一、二、三号分别

3、对应特快、直快和慢车。个指示灯一、二、三号分别对应特快、直快和慢车。列车的优先级别依次为特快、直快和慢车,要求当特快列车列车的优先级别依次为特快、直快和慢车,要求当特快列车请求进站时,无论其它两种列车是否请求进站,一号灯亮。请求进站时,无论其它两种列车是否请求进站,一号灯亮。当特快没有请求,直快请求进站时,无论慢车是否请求,二当特快没有请求,直快请求进站时,无论慢车是否请求,二号灯亮。当特快和直快均没有请求,号灯亮。当特快和直快均没有请求,而慢车有请求时,三号灯亮。而慢车有请求时,三号灯亮。解:解:1、逻辑抽象逻辑抽象。输入信号输入信号:I0、I1、I2分别为特快、直快和慢车的进站请求信号分别

4、为特快、直快和慢车的进站请求信号且有进站请求时为且有进站请求时为1,没有请求时为,没有请求时为0。输出信号输出信号:L0、L1、L2分别为分别为3个指示灯的状态,个指示灯的状态,且灯亮为且灯亮为1,灯灭为,灯灭为0。输 入入输 出出I0I1I2L0L1L2000000110001010001001根据题意列出真值表根据题意列出真值表(2)写出各输出逻辑表达式。写出各输出逻辑表达式。L0=I0输 入入输 出出I0I1I2L0L1L2000000110001010001001真值表真值表2、根据真值表写出各输出逻辑表达式。根据真值表写出各输出逻辑表达式。L0=I03、根据要求将上式变换为与非形式根

5、据要求将上式变换为与非形式 4、根据输出逻辑表达式画出逻辑图。根据输出逻辑表达式画出逻辑图。某董事会有一位董事长和三位董事,就某项议题进行表决,某董事会有一位董事长和三位董事,就某项议题进行表决,当满足以下条件时决议通过:有三人或三人以上同意;或当满足以下条件时决议通过:有三人或三人以上同意;或者有两人同意,但其中一人必须是董事长。试用两输入与者有两人同意,但其中一人必须是董事长。试用两输入与非门设计满足上述要求的表决电路。非门设计满足上述要求的表决电路。解解 1)1)逻辑抽象。逻辑抽象。2)2)列出真值表;列出真值表;3)3)画出卡诺图,求输出画出卡诺图,求输出L的表达式;的表达式;假设:用

6、变量假设:用变量A、B、C、D表示输入,表示输入,A A代表董事长,代表董事长,B、C、D代表董事,代表董事,1 1 同意,同意,0 0 不同意;不同意;用用L L表示输出,表示输出,L L1 1 决议通过,决议通过,L L0 0 不通过。不通过。4)4)画出由与非门组成的逻辑电路。画出由与非门组成的逻辑电路。例例3 3L=AB+AC+AD+BCD输 入入出出ABCDL00000001001000110100010101100111输 入入出出ABCDL1000100110101011110011011110111101111111 2)2)列出真值表列出真值表 3)3)画出输出画出输出L L

7、的卡的卡诺图并化简得诺图并化简得 BCDABAD4)4)画出由与非门组成的逻辑电路。画出由与非门组成的逻辑电路。00000001ACL=AB+AC+AD+BCD4)4)画出由与非门组成的逻辑电路。画出由与非门组成的逻辑电路。例例4解解 1)1)逻辑抽象。逻辑抽象。2)2)列出真值表列出真值表;3)3)画出卡诺图画出卡诺图,求输出求输出L L;4)4)画出逻辑电路。画出逻辑电路。某工厂有某工厂有A、B、C三台设备,其中三台设备,其中A和和B的功率相等,的功率相等,C的功率是的功率是A的两倍。这些设备由的两倍。这些设备由X和和Y两台发电机供电,发两台发电机供电,发电机电机X的最大输出功率等于的最大

8、输出功率等于A的功率,发电机的功率,发电机Y的最大输出的最大输出功率是功率是X的三倍。要求设计一个逻辑电路,能够根据各台的三倍。要求设计一个逻辑电路,能够根据各台设备的运转和停止状态,以最节约能源的方式启、停发电设备的运转和停止状态,以最节约能源的方式启、停发电机。机。2)2)列出真值表列出真值表 3)3)画出卡诺图画出卡诺图,求输出求输出L L;Y=AB+C 输输 入入输输 出出A B C XY00000101001110010111011100101001010101114)4)画出逻辑图画出逻辑图。Y=AB+C 例例5 试设计一个码转换电路,将试设计一个码转换电路,将4位格雷码转换为自然

9、二进位格雷码转换为自然二进制码。可以采用任何逻辑门电路来实现。制码。可以采用任何逻辑门电路来实现。解:解:(1)明确逻辑功能,列出真值表。明确逻辑功能,列出真值表。设输入变量为设输入变量为G3、G2、G1、G0为格雷码,为格雷码,当输入格雷码按照从当输入格雷码按照从0到到15递增排序时,递增排序时,可列出逻辑电路真值表可列出逻辑电路真值表输出变量输出变量B3、B2、B1和和B0为为自然二进制码。自然二进制码。0 1 1 10 1 0 00 1 1 00 1 0 10 1 0 10 1 1 10 1 0 00 1 1 00 0 1 10 0 1 00 0 1 00 0 1 10 0 0 10 0

10、 0 10 0 0 00 0 0 0B3 B2 B1 B0G3 G2 G1 G0输 出出输 入入1 1 1 11 0 0 01 1 1 01 0 0 11 1 0 11 0 1 11 1 0 01 0 1 01 0 1 11 1 1 01 0 1 01 1 1 11 0 0 11 1 0 11 0 0 01 1 0 0B3 B2 B1 B0G3 G2 G1 G0输 出出输 入入逻辑电路真值表逻辑电路真值表(2)画出各输出函数的卡诺图,并化简和变换。画出各输出函数的卡诺图,并化简和变换。33GB=2B+2G3G2G3G+2G3G1B=1G+2G3G1G2G3G1G+2G3G1G=(=(2G3G)

11、+2G3G1G+2G3G)+2G3G1G=3G2G 1G0B=3G2G 1G 0G(3)根据逻辑表达式,画出逻辑图根据逻辑表达式,画出逻辑图格格雷雷码码C=1例例6 6 试试设设计计一一可可逆逆的的四四位位码码变变换换器器。在在控控制制信信号号C C=1=1时时,它它将将二二进进制制码码转转换换为为格格雷雷码码;C C=0 0时时,它它格格雷雷码码将将转转换换为为二进制二进制码。码。Y Y3 3Y Y2 2Y Y1 1Y Y0 0四四位位码码变变换换器器C=0二二进进制制码码电路框图电路框图X X3 3X X2 2X X1 1X X0 0二二进进制制码码X X3 3X X2 2X X1 1X

12、X0 0格格雷雷码码二进制码二进制码X3X2X1X0格雷码格雷码g3g2g1g000000001001000110100010101100111100010011010101111001101111011110000000100110010011001110101010011001101111111101010101110011000C=1C=00000000100100011010001010110011110001001101010111100110111101111二进制码二进制码b3b2b1b00000000100110010011001110101010011001101111111

13、101010101110011000格雷码格雷码X3X2X1X02 2、简化和变换逻辑表达式、简化和变换逻辑表达式(以以g3、g2为例)为例)二进制码二进制码X3X2X1X0格雷码格雷码g3g2g1g000000001001000110100010101100111100010011010101111001101111011110000000100110010011001110101010011001101111111101010101110011000C=1g2=(x3+x 2)Cg3=X3CC=0(以(以b3、b2为例)为例)0000000100100011010001010110011110001001101010111100110111101111二进制码b3b2b1b00000000100110010011001110101010011001101111111101010101110011000格雷码X3X2X1X0b3=X3Cb2=(x3+x 3)C画出逻辑电路图画出逻辑电路图.(.(略略)四四位位码码变变换换器器C CY Y3 3Y Y2 2Y Y1 1Y Y0 0X X3 3X X2 2X X1 1X X0 0g g3 3g g2 2g g1 1g g0 0y3=?y2=?

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1