ImageVerifierCode 换一换
格式:DOCX , 页数:11 ,大小:22.46KB ,
资源ID:26295149      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/26295149.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(苏州市职业大学eda交通灯控制系统毕业论文设计.docx)为本站会员(b****9)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

苏州市职业大学eda交通灯控制系统毕业论文设计.docx

1、苏州市职业大学eda交通灯控制系统毕业论文设计苏州市职业大学eda交通灯控制系统毕业论文设计 苏州市职业大学eda交通灯控制系统毕业论文设计 苏州市职业大学电子信息工程学院实训报告 目 录 绪论- 1 - 摘要- 2 - 【EDA】- 2 - 【交通灯控制系统】- 2 - 【硬件仿真】- 2 - 第一章 EDA 技术- 3 - 1.1 EDA技术简介- 3 - 1.2 EDA应用- 3 - 1.3 EDA技术设计方法- 3 - 1.3.1由底向上(Bottom-up)的设计方法- 3 - 1.3.2自顶向下(Top-down)的设计方法- 3 - 第二章交通灯控制系统简介- 5 - 2.1交通

2、灯控制系统的应用场合- 5 - 2.2交通灯控制系统的系统构成- 5 - 2.3交通灯控制系统的作用- 6 - 第三章 交通灯控制系统的设计- 7 - 3.1设计目的- 7 - 3.2设计要求- 7 - 3.2.1基本要求- 7 - 3.2.2附加要求- 7 - 3.3设计思路- 7 - 3.4设计流程图- 8 - 3.5、器件下载编程与硬件实现- 9 - 3.6设计步骤- 10 - 3.7实验结果及波形图- 10 - 3.7.1软件仿真图- 10 - 3.7.2硬件仿真- 11 - 第四章课程实训总结- 14 - 附录:- 15 - 参考文献- 18 - 绪论 电子设计自动化(EDA)是近几

3、年迅速发展起来的计算机软件、硬件和微电子技术交叉形成的现代电子设计技术,其含义已经不局限于在当初的类似Protel电路版图设计自动化的概念,目前EDA技术更多的是指芯片内部的电路设计自动化。 也就是说,开发人员完全可以通过自己设计电路来定制其芯片内部的电路功能,使之成为专用集成电路芯片,这就是当今的用户可编程逻辑器件(PLD)技术。 试验目的:随着社会经济的发展,城市交通问题越来越引起人们的关注。 人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。 城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成

4、部分。 所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。 研究设想:根据主干道与支干道的交通流量不同,实际交通的需要,设定各个干道上红绿灯的闪亮时间不同。 以达到合理分配交通时间,优化交通设计,减少事故的发生。 实验设计:本设计采用较为广泛的EDA技术,应用Verilog HDL硬件电路描述语言,实现交通灯系统控制器的设计。 预期结果应该能够满足主干道与支干道分配时间不同,规范交通秩序。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完

5、成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度 下面我们将利用EDA技术进行软件和硬件仿真,设计出交通灯的控制电路和交通灯的控制系统,从现实实例中展现出交通灯的应用。 摘要 【EDA】 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

6、【交通灯控制系统】 路口交通灯控制系统与其他控制系统一样,划分为控制器和受控电路两部分。 控制器使整个系统按设定的工作方式交替指挥车辆及行人的通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路口交通灯控制系统的东西路有交通灯R(红)、Y(黄)、G(绿);东西人行安全通道灯:RXR(红)、RXG(绿)。 南北路有交通灯:(红)、(黄)、(绿);南北人行安全通道灯:rxr1(红)、rxg1(绿),所有灯均为高电平点亮。 设置通行时间和转换时间的变模定时电路,由预置输入整数cnt决定,输入逻辑cx是用来决定计数清零。 Clk是外部提供的基准秒脉冲信号。 【硬件

7、仿真】 在进行硬件测试时,按键k1对应复位端reset,按键k2对应紧急开关urgent。 EDA实验开发系统上的时钟cp2对应计数时钟CLK,数码管M3、M4对应东西走向的时钟显示。 LED灯l16、l15、l14对应东西走向的绿灯G1、黄灯Y1、红灯R1。 数码管M1、M2对应南北走向的时钟显示。 LED灯l1、l2、l3对应南北走向的绿灯G2、黄灯Y2、红灯R2。 第一章 EDA 技术 1.1 EDA技术简介 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(

8、CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 1.2 EDA应用 EDA技术在教学、科研、产品设计与制造等方面都发挥着巨大的作用。 在教学方面,几乎所有理工科院校的电子信息类专业都开设了EDA课程,主要目的是让学生了解EDA的基本概念和基本原理,掌握用HDL语言编写规范的程序,掌握逻辑综合的理论和算法,使用EDA工具进行电子电路课程的实验并进行简单系统的设计,为今后

9、工作打下基础。 在科研方面,主要利用电路仿真工具(EWB或Pspice)进行电路设计与仿真,利用虚拟仪器进行产品测试,将CPLD/FPGA器件实际应用到仪器设备中,从事PCB设计和ASIC设计等。 1.3 EDA技术设计方法 1.3.1由底向上(Bottom-up)的设计方法 自底向上法是根据系统功能要求,从具体的器件、逻辑部件或者相似系统开始,凭借设计者熟练的技巧和丰富的经验通过对其进行相互连接、修改和扩大,构成所要求的系统。 该方法是从底层即具体元件开始的,实际上无论是取用已有的模块还是自行设计电路,其设汁成本和开发周期都优于自顶向下法;但由于设计是从最底层开始,所以难以保证总体设计的最佳

10、性,例如电路结构不优化、能够共用的器件没有共用。 1.3.2自顶向下(Top-down)的设计方法 自顶向下的设计方法利用功能分割手段,将设计由上到下进行层次化和模块化分割,即对系统进行分层次(总系统层、子系统层、功能块层、电路逻辑块层等)设计和仿真。 功能分割时,将系统功能分解为功能块,功能块再分解为逻辑块,逻辑块再分解为更小的逻辑块和电路元件,如此分割逐步地将设计细化,将功能逐步具体化、模块化。 这种设汁是逐渐逼近于具体电路的。 图1-1自顶向下的设计方法 图1-2数字系统结构框图 第二章 交通灯控制系统简介 随着社会的发展,车辆越来越多,交通事故的发生已经严重的影响到了百姓的安全。 所以

11、,交通灯的出现已经极大力度的减少了事故的发生,交通灯在生活中已经变得越来越重要,严格的遵守交通灯的指挥,可以维持公共交通秩序,为国民的生活提供保障。 然而交通灯的设计也是比较繁琐的,所以利用EDA技术可以十分有效的设计出交通灯的控制系统。 2.1交通灯控制系统的应用场合 随着现在车辆的增多,不少车辆违法行驶,严重影响交通秩序,因此交通灯一般大多数应用在以下路口。 A、 十字路口 B、 人流量比较大的路口 C、车辆行驶比较多的路口 D、车辆多、发生堵车的情况等 2.2交通灯控制系统的系统构成 路口交通灯控制系统与其他控制系统一样,划分为控制器和受控电路两部分。 控制器使整个系统按设定的工作方式交

12、替指挥车辆及行人的通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 按照路口交通运行的实际情况,在本系统中,设定系统的工作情况如下。 路口交通灯控制系统的东西路有交通灯R(红)、Y(黄)、G(绿);东西人行安全通道灯:RXR(红)、RXG(绿)。 南北路有交通灯:r1(红)、y1(黄)、g1(绿);南北人行安全通道灯:rxr1(红)、rxg1(绿),所有灯均为高电平点亮。 设置15s的通行时间和5s转换时间的变模定时电路,由预置输入整数cnt决定是模15还是模5,输入逻辑cx是用来决定计数到4时清零还是到14时清零。 Clk是外部提供的基准秒脉冲信号。 x

13、0、x1、x2、x3是由控制器输出的表示计数时间的四位二进制数。 一般情况下,当汽车行驶至十字交通路口时,有3种选择: 向前,向左转弯,向右转弯。 根据我国的交通规则规定,汽车是靠右行驶,向右拐弯只要走弧形的支干道即可,不需受十字交通灯的束缚。 因此,本文主要考虑前行和左转这两种 情况。 十字路口交通灯负责控制各走向红绿灯的状态及转换,并且各状态之间有一定的时间过渡。 同时,东西南北每条干道上都为人行横道设置了红绿灯,提醒行人在安全时刻穿越道路以保证行人的安全。 2.3交通灯控制系统的作用 交通灯控制系统的作用主要是实现城市十字交叉路口红绿灯的控制。 在现代化的大城市中,十字交叉路口越来越多,

14、在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理,本系统就是基于此目的而开发的。 如下图所示: 2-1十字路口交通管理信号灯 第三章 交通灯控制系统的设计 3.1设计目的 1、掌握数字系统的设计流程。 2、掌握利用原理图与VHDL混合设计数字系统的方法。 3、掌握交通灯的设计原理与设计实现。 3.2设计要求 3.2.1基本要求 1、 能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态,用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯; 2、 南北向为主干道,每次通行时间为25S

15、,东西向为支干道,每次通行时间为15S; 3、 能实现正常的倒计时功能,用两组数码管作为东西、南北向的倒计时显示。 其中,黄灯:5S。 4、 能实现特殊状态的功能。 按下SP键后,能实现以下特殊功能: (1)显示倒计时的两组数码管闪烁; (2)计数器停止计数并保持在原来的状态; (3)东西、南北路口均显示红灯状态; (4)特殊状态解除后能继续计数; 5、 能实现全清零功能。 按下reset键后,系统实现全清零,计数器由初状态计数,对应状态的指示灯亮; 6.、用VHDL语言设计上述功能的交通灯控制器,并用层次化方法设计该电路; 7、 仿真、验证设计的正确性。 3.2.2附加要求 1、时钟输入:c

16、lkin=2KHz 2、采用分频器分成:1Hz,然后提供给系统 3.3设计思路 交通灯控制器的电路控制原理框图如图1所示,主要包括置数器模块、定时计数器模块、主控制器模块和译码器模块。 置数器模块将交通灯的点亮时间预置到置数电路中。 计数器模块以秒为单位倒计时,当计数值减为零时,主控电路改 红 绿 黄 主控制器 显示器 译码器 置数器 定时计数器 特殊状态 清零 红 绿 黄 图3-1 电路控制原理框图 变输出状态,电路进入下一个状态的倒计时。 核心部分是主控制模块。 表3-1状态图 状态 主干道 支干道 时间/S 00 绿灯亮 红灯亮 20 01 黄灯亮 红灯亮 5 10 红灯亮 绿灯亮 10

17、 11 红灯亮 黄灯亮 5 交通灯控制器的电路控制原理框图如图3-1所示,主要包括置数器模块、定交通灯控制器的电路控制原理框图如图3-1所示,主要包括置数器模块、定时计数器模块、主控制器模块和译码器模块。 置数器模块将交通灯的点亮时间预置到置数电路中。 计数器模块以秒为单位倒计时,当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。 核心部分是主控制模块。 具体控制情况见上表3-1。 3.4设计流程图 由以上要求可以得到该系统的程序流程图如图3-2所示。 其中,GA、RA、YA表示A支路的绿灯、红灯、黄灯,GB、RB、YB表示B支路的绿灯、红灯、黄灯,S表示特殊功能按键,T表示

18、计时的时间。 图3-2交通灯设计控制电路流程图 3.5、器件下载编程与硬件实现 在进行硬件测试时,按键k1对应复位端reset,按键k2对应紧急开关urgent。 EDA实验开发系统上的时钟cp2对应计数时钟CLK,数码管M3、M4对应东西走向的时钟显示。 LED灯l16、l15、l14对应东西走向的绿灯G1、黄灯Y1、红灯R1。 数码管M1、M2对应南北走向的时钟显示。 LED灯l1、l2、l3对应南北走向的绿灯G2、黄灯Y2、红灯R2,对应的硬件结构示意图如图3-3所示。 图3-3交通灯控制系统的硬件示意图 图3-4硬件结构图 3.6设计步骤 1、启动Quarrtus软件; 2、单击菜单栏

19、中的File/New命令,打开New对话框,选择Device Design Files中的Block Diagram/Schematic,打开图形编辑窗口; 3、右击鼠标,弹出菜单,点击“Insert/Symbol”,画一个图形保存,同时创建一个工程; 4、在同一个工程下,单击菜单栏中的File/New命令,打开New对话框,选择Device Design Files中的VHDL Files,输入实训的源程序; 5、单击菜单栏中的ProcessStart Compilation命令,启动全程编译; 6、编译后加载源程序的Symbol; 7、画出实训的原理图,通过两组交通灯来模拟控制东西、南北两

20、条通道上的车辆通行,所有功能在实验操作平台上进行模拟通过。 8、进行仿真,建立波形文件,单击File/New命令,打开文件选择窗口,然后单击“Other Files”选项卡,选择其中的“Vector Waveform File”选项; 9、输入信号节点,单击ViewUtility WindowsNode Finder命令,添加端口引脚名; 10、指定芯片管脚,单击菜单栏中的AssignmentsPin,打开芯片管脚对话框。 3.7实验结果及波形图 3.7.1软件仿真图 图3-5软件仿真波形 3.7.2硬件仿真 在QuartusII软件中,通过对所设计的硬件描述语言代码进行波形仿真后,达到了预期

21、效果,于是,我们在该软件上进行下载配置设置。 在Assignments菜单下选中Devices,在Family栏选择ACEX1K,选中EPF10K10LC84-4器件。 再在Assignments菜单下选中Pins按照相应要求对管脚进行锁定。 最后在Tools菜单下,选中Programmer,对配置方式进行设置,这里选择Passive Seril(PS)被动串行模式。 选择好要下载的硬件设备后点击Start即可开始编程下载了。 图3-6制定芯片管脚 硬件仿真结束后,在实验箱上显示出结果如下图: 第四章 课程实训总结 通过这次课程设计,我进一步加深了对电子设计自动化的了解。 并进一步熟练了对Qu

22、artusII软件的操作。 在编写程序的过程中,遇到了很多问题,使我发现自己以前学习上存在的不足。 通过与同学探讨和请教老师,终于把问题都解决了,并加深了对交通灯原理和设计思路的了解。 同时也掌握了做课程设计的一般流程,为以后的设计积累了一定的经验。 做课程设计时,先查阅相关知识,把原理吃透,确定一个大的设计方向,在按照这个方向分模块的把要实现的功能用流程图的形式展示。 最后参照每个模块把输入和输出引脚设定,运用我们所学的VHDL语言进行编程。 总之,通过这次的设计,进一步了解了EDA技术,收获很大,对软件编程、排错调试、相关仪器设备的使用技能等方面得到较全面的锻炼和提高。 我重新认识了QUA

23、RTUS II软件和EDA芯片。 对大二所学的 EDA课程又重新温习了一遍,特别是VHDL语言的编程,好久没有接触到,遗忘了很多。 看起来很平常的十字路口交通灯,真正要自己去设计,还是有一定的困难的。 里面还涉及到了一定的生活常识,比如主干道的绿灯点亮时间要比支干道的多,而且黄灯的点亮是在绿灯过渡到红灯的时候出现,还有黄灯不是一直亮,是闪烁的,每一个细节都有可能影响整个系统的成败。 一个简单的系统不仅仅需要课本知识,还有许多的生活常识也涉及到了。 本次课程设计不仅让我们将所学的课本知识温故了一遍,并且很好的开阔了一下我们的视野,培养了我们的综合素质。 在编写程序的过程中,遇到了很多问题,使我发

24、现自己以前学习上存在的不足。 通过与同学探讨和请教老师,终于把问题都解决了,并加深了对交通灯原理和设计思路的了解。 同时也掌握了做课程设计的一般流程,为以后的设计积累了一定的经验。 做课程设计时,先查阅相关知识,把原理吃透,确定一个大的设计方向,在按照这个方向分模块的把要实现的功能用流程图的形式展示。 最后参照每个模块把输入和输出引脚设定,运用我们所学的VHDL语言进行编程。 总之,通过这次的设计,进一步了解了EDA技术,收获很大,对软件编程、排错调试、相关仪器设备的使用技能等方面得到较全面的锻炼和提高。 在此,感谢给我提供帮助的老师和同学。 附录 源程序: -ledcontrol.vhd -

25、 17 - library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ledcontrol is port(reset,clk,urgen :in std_logic; state :out std_logic_vector(1 downto 0); sub,set1,set2 :out std_logic); end ledcontrol; architecture a of ledcontrol is signal count:std_logic_vector(6 downto 0)

26、; signal subtemp:std_logic; begin sub科学出版社,2002 2 焦素敏.EDA应用技术.北京:清华大学出版社,2005 3 江国强.EDA技术与应用.北京:电子工业出版社,2005 4 金西.VHDL与复杂数字系统设计.西安:西安电子科技大学出版社,2003 5 谭会生.EDA技术综合应用实例与分析.西安:西安电子大学科技出版社,2007 6 尹常永.EDA技术与数字系统设计.西安:西安电子科技大学出版社,2007 7 顾斌.数字电路EDA设计.西安:西安电子科技大学出版社,2007 8 林明权.VHDL数字控制系统设计范例.北京:电子工业出版社,2005 9 王振红.VHDL数字电路设计与应用实践教程.北京:机械工业出版社,2003 - 19 -

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1