ImageVerifierCode 换一换
格式:DOC , 页数:8 ,大小:74.59KB ,
资源ID:2579937      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/2579937.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(VerilogHDL流水灯设计.doc)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

VerilogHDL流水灯设计.doc

1、大规模数字逻辑题目: 流水灯控制 专 业 电子信息科学与技术 班 级 学 号 学生姓名 设计时间 教师评分 2013年 12 月 10 日目 录一、概述1二、设计目的1三、设计内容1四、设计原理图1五、引脚分配情况2六、源程序代码2VerilogHDL 程序:2分频器部分:5七、心得体会6八、参考文献6一、概述流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。二、设计目的1、熟悉利用Quartus II 开发数字电路的基本流程和Qu

2、artus II 软件的相关操作。2、掌握基本的设计思路,软件环境参数配置,仿真,管脚分配,利用JTAG/AS进行下载等基本操作。3、了解VerilogHDL 语言设计或原理图设计方法。4、通过本此设计,了解流水灯的工作原理,掌握其逻辑功能及设计方法。三、设计内容1、用VerilogHDL语言设计一个流水灯,输入0的时候ledled7,1Hz正向流水3次,然后全亮;然后2Hz逆向流水5次全亮;循环。输入1的时候led0led7,0.5Hz奇数流水2次,全亮,1Hz偶数流水4次,全亮,然后循环。2、用QuartusII 软件进行编译,仿真,下载到实验平台进行验证。四、设计原理图 en为可调输入,

3、输出为8位数据,为流水灯实验,试用8个LED指示灯来表示,具体引脚分配见下。五、引脚分配情况六、源程序代码VerilogHDL 程序:module LED( clk,led,en ); input clk; input en; output 7:0led;/ 输出端口定义为寄存器型 reg 7:0 led; reg 8:0 state1; reg 8:0 state2; always (posedge clk )/ always语句,表示每当CLK的上升沿到来时,完成begin-end之间语句的操作 if(!en) begin state2 = 0; state1 = state1 + 1;

4、/ one clk,one state case(state1) 1,2: led = 8b00000001; /1-7 zhengxu,3bian 3,4: led = 8b00000010; 5,6: led = 8b00000100; 7,8: led = 8b00001000; 9,10: led = 8b00010000; 11,12: led = 8b00100000; 13,14: led = 8b01000000; 15,16: led = 8b10000000; 17,18: led = 8b00000001; 19,20: led = 8b00000010; 21,22:

5、led = 8b00000100; 23,24: led = 8b00001000; 25,26: led = 8b00010000; 27,28: led = 8b00100000; 29,30: led = 8b01000000; 31,32: led = 8b10000000; 33,34: led = 8b00000001; 35,36: led = 8b00000010; 37,38: led = 8b00000100; 39,40: led = 8b00001000; 41,42: led = 8b00010000; 43,44: led = 8b00100000; 45,46:

6、led = 8b01000000; 47,48: led = 8b10000000; 49: led = 8b11111111; /quanliang 50: led = 8b10000000; 51: led = 8b01000000; 52: led = 8b00100000; 53: led = 8b00010000; 54: led = 8b00001000; 55: led = 8b00000100; 56: led = 8b00000010; 57: led = 8b00000001; 58: led = 8b10000000; 59: led = 8b01000000; 60:

7、led = 8b00100000; 61: led = 8b00010000; 62: led = 8b00001000; 63: led = 8b00000100; 64: led = 8b00000010; 65: led = 8b00000001; 66: led = 8b10000000; 67: led = 8b01000000; 68: led = 8b00100000; 69: led = 8b00010000; 70: led = 8b00001000; 71: led = 8b00000100; 72: led = 8b00000010; 73: led = 8b000000

8、01; 74: led = 8b10000000; 75: led = 8b01000000; 76: led = 8b00100000; 77: led = 8b00010000; 78: led = 8b00001000; 79: led = 8b00000100; 80: led = 8b00000010; 81: led = 8b00000001; 82: led = 8b10000000; 83: led = 8b01000000; 84: led = 8b00100000; 85: led = 8b00010000; 86: led = 8b00001000; 87: led = 8b00000100; 88: led = 8b00000010; 89: led = 8b00000001; 90: led = 8b11111111; 91: begin led = 8b00000000;state1=0;end default: state1 = 0; endcase end else begin state1 = 0;

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1