ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:181.49KB ,
资源ID:25654792      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/25654792.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA课程设计洗衣机控制器.docx)为本站会员(b****9)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA课程设计洗衣机控制器.docx

1、EDA课程设计洗衣机控制器 This model paper was revised by the Standardization Office on December 10, 2020EDA课程设计洗衣机控制器东 北 石 油 大 学课 程 设 计课 程 EDA技术课程设计 题 目 洗衣机控制器 院 系 电子科学学院 专业班级 电子信息工程 学生姓名 学生学号 指导教师 2014年 3 月7日东北石油大学课程设计任务书课程 EDA技术课程设计题目 洗衣机控制器专业 电子信息工程 姓名 学号 主要内容、基本要求、主要参考资料等主要内容: 设计一个洗衣机控制器,要求洗衣机有正转、反转、暂停三种状态

2、。设定洗衣机的工作时间,要洗衣机在工作时间内完成:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒”,定时到则停止,同时发出提示音。基本要求:1、设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒”,定时到则停止;2、若定时到,则停机发出音响信号;3、用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。主要参考资料:1 潘松着.EDA技术实用教程(第二版). 北京

3、:科学出版社,2005.2 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2006.3 阎石主编.数字电子技术基础. 北京:高教出版社,2003.完成期限 指导教师 专业负责人 2014年 3月3日一、设计思想1.基本原理洗衣机控制器的设计主要是定时器的设计。由一片FPGA和外围电路构成了电器控制部分。FPGA接收键盘的控制命令,控制洗衣机的进水、排水、水位和洗衣机的工作状态、并控制显示工作状态以及设定直流电机速度、正反转控制、制动控制、起停控制和运动状态控制。对芯片的编程采用模块化的VHDL (硬件描述语言)进行设计,设计分为三层实现,顶层实现整个芯片的功能。顶层和中间层多数是由V

4、HDL的元件例化语句实现。中间层由无刷直流电机控制、运行模式选择、洗涤模式选择、定时器、显示控制、键盘扫描、水位控制以及对直流电机控制板进行速度设定、正反转控制、启停控制等模块组成,它们分别调用底层模块。2.设计框图 图1 设计框图用两位数码管预置洗涤时间(分钟数),洗涤过程在送入预置时间后开始运转,洗涤中按倒计时方式对洗涤过程作计时显示,用LED表示电动机的正、反转,如果定时时间到,则停机并发出音响信号。二、设计步骤和调试过程1、模块设计和相应模块代码洗衣机控制器电路主要有五大部分组成,包括:减法计数器、时序控制电路、预置时间和编码电路、数码管显示、译码器组成。(1)预设时间和编码电路:本模

5、块将输入的四位时间信号编码成八位二进制数输出到减法计数器电路。libraryieee;use signalp1:std_logic_vector(7downto0);begin process(load)begin if(loadeventandload=1)then casetime_inputis when0000=p1p1p1p1p1p1p1p1p1p1p1=00000000;endcase;endif;endprocesstime_set=p1;endsettime;图2预设时间和编码仿真用K1、K2、K3、K4给time_input输入一个二进制数0111,让load有效,输出tim

6、e_set为00000111。(2)减法计数器模块:由于洗衣机有工作时间,必须要一模块来控制它的工作时间范围,当洗衣机开始工作后,减法计数器即会实现减数功能,直到时间减到零,洗衣机便停止工作。当出现系统运行结束信号time_over时,蜂鸣器报警洗衣机工作结束。libraryieee;use process(clk)variabletime_second:integerrange0to59:=59;beginif(clkeventandclk=1) then if(start=0)then if(time_remain(7downto0)=0)thentime_remain=time_set;

7、elsetime_remain(7downto4)=time_remain(3downto0);time_remain(3downto0)=time_set(3downto0);endif;time_second:=59;time_over=1;elseif(time_over=1)then if(time_second=0andtime_remain(7downto0)=0)thentime_over=0;elseif(time_second=0)then if(time_remain(3downto0)=0)thentime_remain(7downto4)=time_remain(7do

8、wnto4)-1;time_remain(3downto0)=1001;time_second:=59;elsetime_remain(7downto4)=time_remain(7downto4); time_remain(3downto0)=time_remain(3downto0)-1;time_second:=59; endif;elsetime_second:=time_second-1;endif;endif;endif;endif;endif;endprocess;endcounter;图3减法计数器模块源仿真(3)数码管显示模块:根据课程设计要求,必须将洗衣机的工作状态及工作时

9、间在数码管和指示灯上显示出来,此模块是用来控制洗衣机的工作状态及工作的频率,并把工作状态及工作时间显示出来。a,b,c,d,e,f,g分别对应数码管的七段,minute和second分别位选两个数码管,显示十位和个位。libraryieee;useeventandclk=1)thenchoose=notchoose;if(choose=1)thenminute=0;second=1;bcd=time_remain(7downto4);elseminute=1;second=0;bcdtemptemptemptemptemptemptemptemptemptemptemp=1111011;end

10、case;a=temp(6);b=temp(5);c=temp(4);d=temp(3);e=temp(2);f=temp(1);g=temp(0)endprocessendshowtime图4数码管模块仿真(4)时序电路模块:接收运行起止信号,安排电机运行状态并编码输出libraryieee;useeventandclk=1)thenif(start=0)thenwash_time:=0;wait_time:=0;state:=0;out_1=0;out_2=0;elseif(time_over=1)thenif(wash_time=20)thenif(wait_time=10)thenwa

11、sh_time:=0;state:=notstate;elsewait_time:=wait_time+1;endif;elsewash_time:=wash_time+1;wait_time:=0;endif;endif;if(wash_time=20)thenout_1=0;out_2=0;elseif(state=0)thenout_1=1;out_2=0;elseout_1=0;out_2REV=0;RUN=0;PAUSEREV=0;RUN=1;PAUSEREV=1;RUN=0;PAUSEREV=0;RUN=0;PAUSE=0;endcase;REV=out_2;RUN=out_1;P

12、AUSE暂停=反转=暂停为周期进行循环,一个周期正好费时一分钟,一个周期结束,数码管显示减一,依次循环,直至数码管显示时间为零,洗衣结束。图7总体仿真3、实验调试结果电路设计完成以后,按照预定设计,输入相应数据,三只LED灯按照设定时间规律间断性亮起,数码管也显示输入时间并按减数计时产生相应的数字显示,直到到达预定时间停止工作显示零,实验设计达到预期效果。三、结论及心得体会通过这次课程设计我对FPGA的编程更加熟悉,对定时器和计数器的设计,让我更加明白时序组合门电路设计思路和方法。而且自已独立思考与设计,使我初步掌握了VHDL的设计方法与一些技巧。通过这个实验设计,我更加熟练地掌握了一些常见的

13、数字芯片的设计方法,在设计中也参考和查阅了很多资料,从中学到不少课本上没有的东西。只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正地更好去理解知识,从而提高自己的实际动手能力和独立思考的能力。参考资料1 潘松着.EDA技术实用教程(第二版). 北京:科学出版社,2005.2 康华光主编.电子技术基础 模拟部分. 北京:高教出版社,2006.3 阎石主编.数字电子技术基础. 北京:高教出版社,2003.4 谭会生,张昌凡.EDA技术及应用.西安:西安电子科技大学出版社,2001.5 潘松,黄继业.EDA技术实用教程.北京:科学出版社,2002.6宋万杰,罗丰,吴顺君.CPLD技术

14、及其应用.西安:西安电子科技大学出版社,19997张昌凡,龙永红,彭涛.可编程逻辑器件及VHDL设计技术.广州:华南工学院出版社,2001东北石油大学课程设计成绩评价表课程名称EDA技术课程设计题目名称洗衣机控制器学生姓名学号指导教师姓名职称序号评价项目指 标满分评分1工作量、工作态度和出勤率按期圆满的完成了规定的任务,难易程度和工作量符合教学要求,工作努力,遵守纪律,出勤率高,工作作风严谨,善于与他人合作。202课程设计质量课程设计选题合理,计算过程简练准确,分析问题思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。453创新工作中有创新意识,对前人工作有一些改进或有一定应用价值。54答辩能正确回答指导教师所提出的问题。30总分评语:指导教师: 2014年 3 月 10 日

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1