ImageVerifierCode 换一换
格式:DOCX , 页数:122 ,大小:2.38MB ,
资源ID:25585384      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/25585384.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(DeltaSigma数模转换器的理论与设计.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

DeltaSigma数模转换器的理论与设计.docx

1、DeltaSigma数模转换器的理论与设计DeltaSigma数模转换器的理论与设计AbstractThe two cA)re technologies of the a-E DAC are ovcrsampling and noise shaping technologyCompared with other conventional DAC,the major advantages of A一 DAC are showed as followingThe first,A一DAC can be integrated onto other di百hal devices due to its d

2、i百tal natureThe second,the cost of implementation is low because of simple technologyThe last,AE DAC is inherently linear and does notsuffer from appreciable differential nonlinearity and the background noise level which sets the system SNR independent of the input signal levelBesides of these,the m

3、ost important advantage is that the A-E DAC is able to achieve high-performance and 11ighprecision which the conventional is not able to doThe a-E DAC consists of an upsampling module,a AE modulator and a digitalto analog converterBecause the design is implemented on FPGA,major researches in this pa

4、per focus on upsampling module and SDMIn this paper,we cascaded 3 halfband filters and a CIC filter to realize the upsampling moduleThe whole interpolation filter system has perfezt passband and stop-band performance and achieves a 64X oversmpling rateWe simplified the structure and savethe logic de

5、ments by using multiphase structure and CSD code in designing half bandfiltersAfter learning experiences and methods from other papers,we showed the design flow of the stable highorder A-Z modulator and designed a 5thorder 64一times one with CIFB structure based on the flowWe improved SNR about 1 7 d

6、B through optimizing the NTF ZerOS and polesIn the design of circuit,we decreased amount of109ic element through reusing adder and multiplierThe simulation result showed that the SNR ofthe modulator is above 120 dBSo far,this A-E DAC is implemented and verified by Altera Cyclone II EP2C35 FPGAKeywor

7、ds:DAC oversampling A-E modulation西安电子科技大学 学位论文独创性(或创新性)声明秉承学校严谨的学分和优良的科学道德,本人声明所呈交的论文是我个人在导师指导下进行的研究工作及取得的研究成果。尽我所知,除了文中特别宓蟊以标 注和致谢中所罗列的肉容以外,论文中不包含其他入已经发表或撰写过的磷究成 果;也不包含为获得谣安电子科技大学或其它教育机构的学位或证书而使用过的 材料。与我一同工作的同志对本研究所做的任何贡献均己在论文中做了明确的说 甓并表示了谢意。申请学位论文与资料若有不实之处,本人承担一切的法律责任。本人签名:越鲤西安电子科技大学 关于论文使用授权的说明本

8、人完全了解西安电子科技大学有关保留和使用学位论文的规定,即:研究生在校攻读学位期闻论文工作的知识产权单位属西安电子科技大学。学校有权保 留送交论文的复印件,允许查阅和借阅论文;学校可以公布论文的全部或部分内 容,可以允许采用影印、缩印或其它复制手段保存论文。同时本人保证,毕业后 结合学位论文研究课题再攥写豹文章一律署名单位为谣安电子科技大学。(保密的论文在解密后遵守此规定) 本学位论文属于保密,在一年解密后适用本授权书。 本人签名:盔饧耀导师签名:寻雌 日期半亟刈L第1章前言第1章前言11 ADAC的研究背景数模转换器(Digi越to Analog Converter,DAC)是实现数字信号到

9、模拟信号转换功能的电路模块。对于传统的DAC(主要为权电阻型、梯形电阻型、开关电容型及并联型等), 无论采用何种结构,主要都是采用奈奎斯特(Nyquist)采样率下的模拟电路来实 现,虽然实时性缀好,但是对电路的设计和制造工艺要求很高。在高分辨率的情 况下,转换结果受电阻或者电流单元精度的影响变得尤为明显。例如,在3V的参 考电压下,要实现个18位精度的DAC,其允许的最大误差(12LSB)约为57aV,仅仅相当于尼个电子存储在01矿的电容上所产生的电压,+也小于典型的MOS运放的输入热噪声。这样一来,就需要使用更好的工艺,来减小集成电路制造过程 中电阻或者电流源的偏差,有时候在后期甚至需要对

10、电阻进行激光修正,这无疑 大大增加了成本Ill。此终,在传统DAC的输出端,往往要采用复杂的高阶重构滤 波器来平滑信号,这进一步增加了工艺难度和产品成本。基于上述原因,采用过 采样和噪声整形技术的一DAC(Delta-SigmaDAC),在高精度和中低速的数模 转换应用中,逐渐取代传统数模转换器。杰一DAC把大部分转换过程转移到数字域进行楚理,虽然增加了数字电路 的规模,但是由于数字部分输出的数据码流字长很短(通常为l比特),因此模拟 部分可以只用一个位数很低的简单DA转换单元构建;同时,大部分的数字电路 可以采用标准的数字CMOS工艺实现,也相应的降低了剩造成本。综上所述,一DAC相比传统的

11、奈奎斯特率DAC,其优势主要表现为精度高、成本低、易 于与大规模数字系统进行单片集成等。12 A一DAC的发晨一调制器是由De Loriane等人于1946年提出的,其目的在于减少信源 编码长度。随后,Culter于1954年首次提出了噪声整形的概念,并于1960年获 得专利。1962年, Inose等人针对Culter的系统进一步提出了矗一调制器,改 进了A调制器中过载电压幅度随信号频率下降的特性,并酋次较好地阐明了噪声整 形和过采样的概念。20世纪70年代,Candy等人陆续发表7用一调制器和数字滤波器实现 AD、DA转换的报道。1977年,Ritchie在环路的前向通路中级联了多个积分器

12、, 同时将DAC的输出反馈到每个积分器的输入端来保证高阶环的稳定,这对设计高 阶的A一调制器开创了道路。但是,受限于70年代集成电路的工艺水平,使用2 DeltaSigma数模转换器的理论与设计一调制器的数据转换器还无法与传统的奈奎斯特率转换器竞争。直到20邀纪80年代,尤其是80年代后期,随着大规模集成电路技术的迅速 发展,同时为了适应当时数字化音频市场对高精度数据转换器的需求,出现了一 股持续研究过采样一转换技术的热潮。这一段时期内发表的具有代表意义的论 文主要有:1985年Candy对二阶积分器环设计方法的阐述;1986年Hayashi提出的多级噪声整形结构(MultistAge noi

13、seShaping,MASH);1989年Carlcy为减小 非线性误差提出的动态元件匹配(Dynamic Element Matching,DEM)技术。进入20世纪以后,对一DAC的研究重点主要集中在如何开发稳定的高阶 调制系统以及l比特数模转换和模拟平滑滤波模块等方面。其中,PHILIPS研究实 验室在2000年设计的一种用于车载AMFM接收机的巧基带过采样数模转换器, 使用了5阶一调制器,结合复杂的共轭闭环滤波器,实现了16位的精度。2004 年,阕济大学开发的神芯二号DAC芯片,实现了24位精度,104dB的动态范誉 和90dB的谐波失真度。近年来,随着可编程逻辑器件的发展和SOPC

14、技术的需求, 人们逐渐开始研究在FPGA上实现一DAC。其中成功的典型案例有:2003年, Peter Kiss和Jesus Arias等人设计并实现了一个基于FPGA的具有良好稳定性的过 采样DAC,仅以4倍的过采样率达到了60dB的信嗓比f2l;同年,香港中文大学的Ray CCCheung等人提出的基于FPGA实现的可重构过采样DAC方案,达到 了最高24比特的精度f31;2006年,华东师范大学的洪亮设计的可重构24比特音 频过采样DAC,针对不同输入字长进行35阶调制器的转换,并在FPGA器件上得到验证。到目前为止,随着设计经验和制造技术的逐渐成熟,使用一调制器的数据 转换器的应用领域

15、已经从过去的高精度音频处理逐步扩展到高精度测量、数据通 信等方面。例如,在地震数据采集系统中广泛使用的CS5321CS5322组件,该组 芯片的动态范围高达130dB,采样率从625Hz4KI-Iz可调,满足了地震单元高达 120dB的动态范围以及对各种采样率的要求。13本文的研究意义及主要工作露前,市场上的音频一DAC,其升采样率一般在16至128倍之间,调制 器的阶数多为三阶或者四阶,其动态范围和信噪毙大多在9沁110dB之阆。对于高 品质的声音输出来说,音频DAC的性能仍有进一步提高的需求,这就需要进一步 提高音频DAC中调制器的动态范围及信噪比。虽然提高过采样率对一DAC性 能的提高会

16、有一定的效栗,但是单纯的侬靠提升过采样搴的方法是很不切实际的, 因为这样不但会增加电路的功耗,而且对提高一DAC性能的效果也不明显。因此,要提高一DAC的性能,就需要提高调制器噪声整形的阶数,或者增加第1章前言调制器的量化比特数。提高调制器的阶数,会给调制器的设计带来困难。因为设 计稳定的高性能的高阶调制器,需要在设计过程中对调制器系统进行反复的调整 和仿真,才能得到满意的结果,然后根据该结果初步确定调制器的系数。在调制 器的系数初步确定之后,为了使得调制器系统便于硬件实现,一般需要对系数进 行调整,系数调整后的高阶调制器系统的性能很有可能达不到最初的设计要求,这就需要对调制器系统重新进行设计

17、,直至得到满意的信噪比和动态范围。所以, 高阶调制器系统的设计所需的工作量很大。另外,调制器的阶数越高,需要执行 的运算也越多,在硬件实现时电路的复杂程度也就越高。这些困难都给调制器阶 数的进一步提高带来了挑战。另一种提高一DAC性能的方法是采用多比特量化的调制器。当调制器采 用多比特量化时,一DAC的内部DA转换器需要多个电平,因此,其线性度 较1比特输出大大降低,而且模拟电路部分变得相对复杂,给模拟电路的设计工 作带来了难度。采用多比特输出时,如果使用电流型DA转换,就需要多个电流 单元,而各个电流单元之间的不匹配会造成模拟输出的误差,这是采用多比特量 化时需要解决的问题。为了解决电流单元

18、的失配误差,通常的方案是采用数字校 正的方法。传统的数字校正的方法是将动态单元随机化,将非线性误差均匀调制 到整个频带上,这样可以消除一些非线性误差,但是这种做法必然会降低信号带 宽内的信噪比。本文针对上述音频一DAC中存在的主要问题,对升采样滤波器、一调 制器进行了理论分析和设计研究。作者的工作主要集中在:用3个半带滤波器和 一个3级CIC滤波器的级联实现了64倍过采样率的内插过程;依据一的线性 模型和Schreicr R设计的Delta-Sigma Toolboxt4】设计并实现了稳定的5阶、64倍、l比特量化的一调制器。本文的其它章节安排如下:第二章给出了数据转换过程中的量化噪声模型,在

19、此基础上着重分析了一DAC的结构与工作原理,然后简单介绍了DAC的常用参数指标。 第三章分析了整数倍内插的基本原理,然后逐次介绍了半带滤波器和CIC滤波器的工作原理及设计方法。第四章介绍了一DAC中的核心模块一调制器。首先给出了一阶一 调制器的线性模型,然后着重介绍了高阶一调制器的结构、设计方法、注意事 项以及设计流程,最后结合Delta-Sigma Toolbox设计了稳定的5阶一调制器, 并对其零、极点分布进行优化以提高信噪比。在调制器结构的选择上,选用了具有前反馈和负反馈分支的一噪声整形(A-Enoiseshapingloop using fcedforw ard and feedbac

20、k branches)结构的调制器。这种结构的调制器中涉及的运算主要 是加法和乘法。4 Delta-Sigma数模转换器的理论与设计第五章给出了系统的模块划分和实现,并简单介绍了基于FPGA设计的流程。 第六章是对本文工作的总结和对未来研究的展望。第2章 AEDAC的原理和结构第2章 一DAC的原理和结构A一DAC属予过采样DA转换器(或-A,力统一格式,本文中一律使用一)。其核心思想是在噪声总功率一定的前提下,利用过采样和嗓声整形技术 将大部分噪声功率转移到高频段,使得信号频带内的噪声变的很小,从而提高了 信噪比。与传统的奈奎斯特(Nyquist)采样率DAC相比,一DAC具有很高的 动态范

21、围和转换精度。本章通过对量诧噪声的分析弓|入过采样和噪声整形技术, 随后介绍一DAC的基本结构和工作原理;在本章的最后部分,列举了衡量DAC 性能的常用参数和指标。21量化噪声分析量化过程是对采样信号进行幅度上的离散化,是对连续信号进行数字处理时 一个不可或缺的步骤,一个典型的均匀量化如图2。1a)所示。其中,xin表示输入的无限精度的采样信号,x印】表示量化输出的数字信号,表示量化级差。I酗上 ,一一,I e憾 如卜卜卜kI 入卜入I一,Y Y V、 N V V V一一嘞圈21均匀量化过程容易知道,当量化级差无限小(近似予没有量化)时,xn卜xn】,但显然 这是不可能的。因此,它们之闽必然存

22、在一定的差值。将量化样本xn】与采样真僮 缸,l】之间的这种差值定义为量化误差,即:棒en】=xn-缸靠】 (2一1)6 Delta-Sigma数模转换器的理论与设计在通常情况下,量化误差可以被看作是种与信号序列完全不相关的噪声, 称之为量化噪声,它与信号的关系是加性的。由于量化过程是菲线性的,因此很 难得到个解析的方法来计算这噪声,故而人们往往借助下面的统计模型来描 述其特性【5】:1)误差序列磋摊】是平稳随机过程的一个样本序列;2)量化误差与输入序列巾叼不相关;3)误差过程的随机变量是不相关的,也就是说,量化噪声序列具有均匀的功 率谱密度函数,是一个自噪声;4)误差过程的概率均匀分布在量化

23、误差范围越,2,A2】内。上述模型在实际应用时不定符合实际情况,例如输入为直流或者方波等规 则信号的采样信号而且量化级数N很小时,误差不能认为是线性独立的自噪声; 然而,当信号比较复杂且随机的时候,这一描述则变褥非常准确。语音和音乐信 号就是这一类信号的典型例子。对于超过8位的精细量化的分析表明,量化阶数 越多,或是信号越复杂,en】与研,z】的相关度就越低。对于理想的均匀量化器,假设其满幅度馑为以,由予样本值被舍入到最接近的量化电平,有:2e1】A2 (22)=2。鼍 (23)根据统计模型的3)、4)两点可导出e印】的概率密度p(秽)和方差分别满足:刖=五1(2-4)嚣兰92去如=箐 (25

24、,由于量化噪声d雄】是均值为零的白噪声,故其平均功率等于方差;又由于白噪声的 功率在【一露,万】(对应模拟频率为【一2,Z2】)内均匀分布,故其噪声功率谱密 度圮(功)等于方差,即:脚卜蠢=箬 (2-6)烈印 E(棚)1, A2,12厂厂 |一|2 A2 e 一t2 ll2 f图22量化误差的概率密度瞒数和功率谱密度第2章A一DAC的理论与结构 7当一个信号被加性噪声所污染时,一般雳倍噪比(SNR,Signalto-Noise-Ratio) 作为其度量指标。信噪比定义为信号方差(功率)与噪声方差的比值。假设原始 信号为峰值=托的正弦波,其信号功率为:=(疋22)2 (27)剡其信噪比的分贝表示

25、形式为:SNR圳-g阱川g半=602N+176 (28)由上式可见,量化编码位数每增加一位,信噪比提高大约6dB。信号时,有:确)=量通胆】篱 对于DA转换器而言,当采用理想低通滤波器从已被量化的信号中重构带限=塾羽篙型-nT迎T+堑箨】笔型-nT塑T羹之。 万差二。 巧誓 2-9)、 7观察式(29)的内容,显然输出也具有如下的形式:xr0)=矗(f)+乞(f) (2-10)这表明DAC与ADC一样,也引入了一个加性的带限自噪声,来衡量转换过 程中的误差,其噪声功率同样由其量化位数N所决定。22 A一DAC的关键技术相比传统的奈奎斯特率的DAC而言,A一DAC具有很高的动态范围和转换 精度。

26、一DAC内部利用高予采样频率很多倍的时钟频率,对输入的数字信号 进行运算和处理。叁一EDAC索数字部分的输出码流往往字长缀短(远常为l比 特)且速率很高,这样一来,模拟部分就可以只用一个数位很低的简单DA转换 器构建而成,而不像传统的PCM型DAC那样会引入大量的非线性噪声。总的来 说,DAC以转换速度等效换取分辨率,即以牺牲处理速度为代价,来达到 菲常离的信噪比和动态范围。221过采样首先来讨论A一艺DAC中采用的第一种关键技术过采样。顾名思义,所 谓过采样,就是以远远高于奈奎斯特采样率的频率对信号进行采样。回顾图22中所示的零均值广义平稳的随机过程。由信号采样量化理论可知,Delta-Si

27、gma数模转换器的理论与设计若输入信号的最小幅度大于量化器的量化级差,并且输入信号的幅度随机分布, 剐量化噪声的总功率是一个常数,且与采样频率Z无关,在(一2,Z2)的频带 范围内均匀分布。因此,如果以速率为OSRZ(OSR,Over-SamplingRate,过采 样率)的脉冲对原始信号进行采样,随着OSR取值的不断提高,采样脉冲频率也 相应的成倍数提高;这样,噪声功率谱的分蠢带宽将会加大,麸面导致噪声功率谱密度的减小,如图25所示。而所关心的信号频带基带是固定不变的,显然, 可以得到以下结论,即落在信号频谱内的量化噪声功率与过采样率OSR成反比变 化,其数学表达式为:iA2。上(2-11)

28、。西OSR(2-11因此,理论上,只要能够提供足够高的过采样率,1比特转换所产生的量化噪声在 基带内的功率甚至能与16比特乃至籀比特壹接量化情况下的结果相媲美。一乓 一每 一l 。 每 矗2 2 2 2图2。3过采样情提下的嗓声功率分布噪声功率的减小,最直接的好处就是带来信噪比的提高。将式(211)代入式f28),可以得到当OSR=M时,N位量纯所能获得的最大信噪比,用分贝表示如下:一川g阱埘半刳=602N+176+1019M (2一12)可见,当量化位数N一定时,过采样率每提高一倍,输出信号的信嗓比提高 大约3dB,由式(28)可知这相当于节省05位的量化字长;依此类推,似乎可 以只用一个很

29、低的转换位数实现非紫高豹信嗓比。然丽,事实上为了让信噪比在 所需的位数上得到比较明显的提高,所需的过采样率往往会使采样频率让人难以 接受。例如,如果要以1比特量化获得108dB的信噪比,则过采样率高达1010,如第2章一DAC的理论与结构 9此高的工作频率对于电路是难以接受的,丽盟工艺实现也非常困难。因此,仅仅 通过提高过采样率来提高转换的精度,并不是一个十分有效的方法f5】厕。实际应用 中为了把采样频率降至现实可行的速度,通常将过采样技术与下一小节中介绍的 噪声整形技术结合使用。222噪声整形噪声整形是一DAC中采用的第二个关键技术。 噪声整形的基本思想是对量仡产生的量仡噪声进行低频衰减、高

30、频放大的处理。对于直接均匀量化过程而言,量化所产生的噪声功率谱密度在全部频带上都 是均等的。而噪声整形技术将对量化噪声进行调制,使其不再满足均匀分布,整 形后,大部分量化噪声将位于信号频带之外。这样,后续的模拟滤波就可以滤除 更多的量化噪声。整形后噪声信号频 信警频带下限 带上限塑24臻声攘形嚣垂嗓声功察港密凌对宠整形前后的噪声功率谱密度对比如上图所示。可见,经过噪声整形,尽管噪 声的总功率并未减少,但在信号频带范围内的噪声功率却大大减小了。因此,在 字长减短的同时,带内噪声仍然保持在输入的水平上。两在后续转换过程中,由 于低位数转换的线性度远好于高位转换,几乎不会引入非线性噪声。在电路实现上

31、,噪声整形技术是通过图25所示的积分器的级联来实现的。级 联的积分器个数越多,对噪声的整形效果越好,即转移到嵩频段的噪声越多、残 留在信号基带内的噪声越少。但是,值得注意的是,由于积分器本身是一个不稳 定的系统(从它的传递函数H徽1(1一z-1)可以看出),因此,多个积分器的级联必 然会导致系统的不稳定,这往往需要通过合理地选择带外噪声的增益以及合理地 选择噪声传递函数的极点,来控镧系统的稳定性;而这样傲的后果又往往会导致性能的降低,从而必须引入积分器增益,来优化系统的性能。具体的原理和设计方法将在本文的第四章中做更为详细的介绍。图26是利用脚U心70对级联210 DeltaSigma数模转换

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1