ImageVerifierCode 换一换
格式:DOCX , 页数:17 ,大小:323.95KB ,
资源ID:25221660      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/25221660.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA交通灯word.docx)为本站会员(b****9)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA交通灯word.docx

1、EDA交通灯word课程设计说明书 名称 交通灯控制系统的设计 2012年 6 月 11 日至 2012 年 6 月 15 日共 1 周院 系 电子信息工程系 班 级 姓 名 系主任 张红兵 教研室主任 陈伟元 指导教师 陈伟元 第一章绪论 21.1EDA 技术概述 21.2EDA技术的发展 21.3EDA技术的应用 21.4EDA的设计方法 31.5硬件描述语言 31.6数字系统 41.6.1数字系统的设计方法 51.6.2自顶向下设计(Top Down) 51.6.3现代数字系统的设计过程 5第二章 交通灯交通灯控制系统简介 62.1交通灯的功能 6第三章 交通灯控制系统的设计 73.1设

2、计要求 73.2交通灯设计思路 73.3.1交通灯控制器状态控制表 83.4设计步骤及程序 93.5硬件实现及调试结果 11第四章 总结 14参考文献 14第一章绪论1.1EDA 技术概述电子设计自动化(Electroc Design Automation, EDA)技术以计算机为基础工作的平台,以微电子技术为物理基础,以现代电子技术设计技术为灵魂,采用计算机软件工具,最终实现系统或专用集成电路(Application Specific Integrated Circuit,ASIC)的设计。EDA技术的使用者包括两类:一类是专用集成电路芯片的设计研发人员;另一类是广大电子设计线路设计人员。后

3、者并不具备专门的IC(集成电路)深层次的知识。1.2EDA技术的发展伴随着计算机、集成电路、电子设计的发展,EDA技术经历了计算机辅佐设计(Computer Assist Design,CAD)、计算机辅佐工程设计(Computer Assist Engineering Design,CADE)和电子设计自动化(Electroc Design Automation, EDA)三个阶段。20世纪70年代的计算机辅佐阶段20世纪80年代的计算机辅佐工程设计阶段20世纪90年代的电子设计自动化(EDA)阶段1.3EDA技术的应用EDA技术在教学、科研。产品设计与制造等各方面都发挥着巨大的作用。教学方

4、面,主要目的是让学生了解EDA的基本概念和原理,掌握用HDL语言编写规范的程序,掌握逻辑综合的理论和算法。科研方面,主要利用电路仿真工具进行电路设计与仿真,利用虚拟机仪器进行产品测试,将CPLD/FPGA器件实际应用到仪器设备中,从事PCB设计和ASIC设计。在产品设计与制造方面,EDA技术应用于仿真、生产、测试等各个环节,如PCB的制作、电子设备的研制与生产、电路板的焊接、运用FPGA/CPLD进行数字系统的设计与制作、ASIC的流片过程,等等。EDA技术已经应用于各行各业,在机械、电子、通信、航空航天。化工、矿产、生物、医学、军事等各个领域都有EDA技术的应用。1.4EDA的设计方法“自顶

5、向下”的设计方法。高层次设计是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避燃计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率。1.5硬件描述语言硬件描述语言(HDL)是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电

6、路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。VHDL是一种全方位的硬件描述语言,包括系统行为级。寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件俄语言的功能,整个自顶向下或由底向上的电路设计过程都可以用VHDL来完成。VHDL还具有以下优点:(1)VHDL的宽范围描述能力使它成为高层进设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。(2)VHDL可以用简洁明确的代码描述来进行复杂控制逻辑艄设计,灵活且方便,而且也便于设计结果的交流、保存和重用。(3)VHDL的设

7、计不依赖于特定的器件,方便了工艺的转换。(4)VHDL是一个标准语言,为众多的EDA厂商支持,因此移植性好。1.6数字系统数字系统是由对信息进行采集, 转换、传输、存储、加工处理和利用的一组相互联系, 相互作用的部件所组成的一个有机的整体. 虽然信息来源不同, 有经济信息、政治信息、图文信息,信息形态不一,有离散的、有连续的等等.但都可经过变换, 转换成数字系统所能接收的数字信息, 进行存储和处理. 同时又可把数字系统加工、处理后的信息经过相应逆变换,成为对被控对象进行控制的可靠依据.数字系统具有可靠性强, 精确度高,稳定性好可模块化, 便于集成等优点.数字系统通常由三部份组成: 输入接口、输

8、出接口, 数据器和控制器其结构如图1.1 所示:图表 1.1 数字系统结构图输入接口是用来将模似量转化为系统能接收的数字量的模块,同理输出接口是完成可逆变化的模块.数字系统的信号包括数据流和控制流信号, 对数据流进行传送和加工处理为数据处理器, 对控制流信号进行传送和加工处理为控制器.数据处理器和控制器是数字系统中最基本的两个部份.尽管各种数字系统可能具有完全不同的功能和形式, 但是都可以用数据处理器和控制所构成的数字系统的本结构来描述.控制器的产生的输出控制信号影响着其它系统控制器的操作, 使本系统与其它系统协调一致地工作,控制器的输入信号也有可能是其系统的输出控制信号.数据处理器作用是控制

9、系统内各部份模块的工作, 使它们按一定顺序进行操作,数字系统中就是这样通过数据处理器和控制器之间的密切配合协调工作,成为一个自动实现信息处理功能的有机整体. 通常以是否有控制器作为区别数字系统和系统部件的标志, 凡是包含控制器且能按顺序进行操作的系统,不论规模大小一律称为数字系统, 否则只能是一个系统的部件.1.6.1数字系统的设计方法现代数字系统的设计方法早期的数字系统多采用试凑法设计, 此法无固定的套路可循, 主要凭借设计者的经验。先进的现代数字系统设计是自上而下的模块化设计。从整个系统功能出发,按一定原则将系统分成若干个子系统,再将每个子系统分成若干个功能模块, 再将每个模块分成若干个较

10、小模块直至分成许多基本模块实现。在自上而下划分过程中,最重要的是将系统或子系统按计算机组成思想那样划分成控制器和若干个受控制的功能模块。受控部分通常为设计者们所熟悉的各种功能电路, 无论是采用现成模块还是自行设计都有一些固定方法可依,无须花费更多精力。1.6.2自顶向下设计(Top Down)随着微电子技术发展,VHDL 硬件描述语言应用越来越广, 硬件描述语言可以在各抽象层次上对电子系统进行描述, 且借助于DEA 设计: 工具, 自动地实现从高层次到低层次的转换,使自顶向下的设计过程得以实现。目前这种设计方法被工程界广为采用。设计的总过程是从系统总体要求出发, 从系统顶层开始, 自上而下地逐

11、步将系统设计内容进行细化, 借助硬件描述语言进行编程, 将系统硬件设计转化成软件编程, 在此基础上再利用相应的逻辑综合工具EDA 以及在线可编程ISP 技术对各种可编程逻辑器件如CPLD、FPGA 进行逻辑划分与适配, 将所产生的菊花链文件映射到相应的可编芯片内, 最后完成硬件的整体设计。1.6.3现代数字系统的设计过程在使用现场可编程逻辑器件以前, 数字系统的设计过程是: 书面设计 硬件搭试 制作样机。硬件搭试是很费时间的, 往往因接线紊乱和接触不良而带来各式各样的麻烦, 所用器件越多,搭试难度越大, 当系统规模大到一定程度, 系统复杂到一定程度, 这种搭试实际上是不可行的。由于计算机技术的

12、发展和采用现场可编程逻辑器件,改变了数字系统设计的程式, 硬件搭试被仿真所取代。所谓仿真, 就是在计算机上建立起系统的模型, 然后加进合适的测试码( 对组合电路) 或测试序列( 对时序电路) , 对此模型进行测试, 以验证系统是否符合预期的设计, 如不符合再行修改, 直至满足设计要求, 然后制作样机。所以, 现代数字系统设计过程是书面设计 仿真 ( 烧录器件) 制作样机,这是一种快捷而且节约的方法。第二章 交通灯交通灯控制系统简介城市中常见的大型十字路口如图2.1所示一般情况下, 当汽车行驶至十字交通路口时, 有3种选择: 向前, 向左转弯, 向右转弯。根据我国的交通规则规定, 汽车是靠右行驶

13、, 向右拐弯只要走弧形的支干道即可, 不需受十字交通灯的束缚。因此,本文主要考虑前行和左转这两种情况。十字路口交通灯负责控制各走向红绿灯的状态及转换, 并且各状态之间有一定的时间过渡。同时, 东西南北每条干道上都为人行横道设置了红绿灯, 提醒过路行人在安全时刻穿越道路, 以保证行人的安全。图2.1 街口2.1交通灯的功能交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。在现代化的大城市中,十字交叉路口越来越多,在每个交叉路口都需要使用红绿灯进行交通指挥和管理,红、黄、绿灯的转换要有一个准确的时间间隔和转换顺序,这就需要有一个安全、自动的系统对红、黄、绿灯的转换进行管理,本系统就是基于此目的

14、而开发的。2.2交通灯控制系统设计城市路口交通信号控制系统大体上分为三种类型: 定周期的信号机、多时段且具有无电缆协调功能的微电脑型信号机以及联网式自适应多相位智能型信号机。具体采用哪种类型, 应根据其应用场合及特点加以确定。其中, 第一种类型以其成本低,设计简单, 安装及维护方便等特点得到了广泛应用。第三章 交通灯控制系统的设计3.1设计要求1能显示十字路口东西、南北两个方向的红、黄、绿灯的指示状态,用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯;2南北向为主干道,每次通行时间为30S,东西向为支干道,每次通行时间为20S;3能实现正常的倒计时功能,用两组数码管作为东西、南北向的倒计时显示

15、。其中,黄灯:5S。4能实现特殊状态的功能。按下SP键后,能实现以下特殊功能:(1)显示倒计时的两组数码管闪烁;(2)计数器停止计数并保持在原来的状态;(3)东西、南北路口均显示红灯状态;(4)特殊状态解除后能继续计数;5. 能实现全清零功能。按下reset键后,系统实现全清零,计数器由初状态计数,对应状态的指示灯亮;6. 用VHDL语言设计上述功能的交通灯控制器,并用层次化方法设计该电路;7. 仿真、验证设计的正确性。3.2交通灯设计思路交通灯控制器原理框图如图2.2所示,包括置数模块、计数模块、主控制器模块和译码器模块。置数模块将交通灯的点亮时间预置到置数电路中,计数模块以秒为单位倒计时,

16、当计数值减为零时,主控电路改变输出状态,电路进入下一个状态的倒计时。其中,核心部分是主控制模块。图2.2 交通灯控制器原理框图3.3.1交通灯控制器状态控制表图表3.3.1 交通灯控制器状态控制表3.3.2程序流程图 图表3.3.2 程序流程图3.4设计步骤及程序 3.4 交通灯控制系统结构图library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ledcontrol is port(reset,clk,urgen:in std_logic; state :out std_logic_vec

17、tor(1 downto 0); sub,set1,set2 :out std_logic);end ledcontrol;architecture a of ledcontrol is signal count: std_logic_vector(6 downto 0); signal subtemp: std_logic;beginsub=subtemp and (not clk);statelabel:process(reset,clk)beginif reset=1then count=0000000; state=00;elsif clkevent and clk=1then if

18、urgen=0then count=count+1;subtemp=1;else subtemp=0;end if; if count=0 then state=00;set1=1;set2=1; elsif count=25 then state=01;set1=1; elsif count=30 then state=10;set1=1;set2=1; elsif count=45 then state=11;set2=1; elsif count=50 then count=0000000;else set1=0;set2=0;end if; end if;end process sta

19、telabel; end a;图表3.4.1 Ledcontrol元件图Ledcontrol.vhd源程library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ledshow is port(clk,urgen :in std_logic; state :in std_logic_vector(1 downto 0); sub,set1,set2 :in std_logic; r1,g1,y1,r2,g2,y2:out std_logic; led1,led2 :out std_logic_

20、vector(7 downto 0);end ledshow;architecture a of ledshow is signal count1,count2:std_logic_vector(7 downto 0); signal setstate1,setstate2:std_logic_vector(7 downto 0); signal tg1,tg2,tr1,tr2,ty1,ty2 :std_logic;beginled1=11111111when urgen=1and clk=0 else count1;led2=11111111when urgen=1and clk=0 els

21、e count2;tg1=1when state=00and urgen=0 else 0;ty1=1when state=01and urgen=0 else 0;tr1=1when state(1)=1or urgen=1 else 0;tg2=1when state=10and urgen=0 else 0;ty2=1when state=11and urgen=0 else 0;tr2=1when state(1)=0or urgen=1 else 0;setstate1= 00100101when state=00else 00000101when state=01else 0010

22、0000;setstate2= 00010101when state=10else 00000101when state=11else 00110000;label2:process(sub)beginif subevent and sub=1thenif set2=1then count2=setstate2;elsif count2(3 downto 0)=0000 then count2=count2-7;else count2=count2-1; end if; g2=tg2; r2=tr2; y2=ty2;end if;end process label2;label1:proces

23、s(sub)beginif subevent and sub=1thenif set1=1then count1=setstate1;elsif count1(3 downto 0)=0000 then count1=count1-7;else count1=count1-1;end if; g1=tg1; r1=tr1; y1=ty1;end if;end process label1;图表3.4.1 Ledshow.vhd元件图end a;Ledshow源程序3.5硬件实现及调试结果在进行硬件测试时,按K1对应复位端reset,按键K2对应紧急开关urgent。EDA实验开发系统上的时钟c

24、p2对应计数时钟CKL,数码管M3、M4对应东西走向的时钟显示。LED灯116、115、114对应东西走向的绿灯G1、黄灯Y1、红灯R1。数码管M1、M2对应南北走向的时钟显示。LED灯11、12、13对应南北走向的绿灯G2、黄灯Y2、红灯R2。对应的硬件示意图如3.5所示 图表3.5.1 交通灯控制系统的硬件示意图在试验箱上选择管脚与程序中的输入输出信号对应,其管脚配置如下:输入芯片脚号输出芯片脚号输出芯片脚号ClkPin_93led27Pin_121led14Pin_126resetpin_41led26Pin_120led13Pin_125urgenPin_42led25Pin_114l

25、ed12Pin_124led24Pin_113led11Pin_123led23Pin_112led10Pin_122led22Pin_111g1Pin_142led21Pin_110g2Pin_67led20Pin_109r1Pin_140led17Pin_129r2Pin_84led16Pin_128y1Pin_141led15Pin_127y2Pin_127图标3.5.2 管脚配置软件仿真图(总)图表 3.5.3 软件仿真图 (总)图表3.5.4 state 仿真波形仿真结果与程序所要的结果一样。当state=”00”时g1=1;当state(1)=0时r2=1.图表 3.5.5 urg

26、ent仿真波形当urgen=1时r1=1,r2=1;仿真结果与程序设计符合。硬件仿真结果图图表 3.5.6 硬件仿真结果第四章 总结在硬件仿真过程中,遇到了问题。我选用的芯片为EPF10CLC84_4,仿真机不识别,总是显示错误。在老师及同学帮助下,我重新换选Cyclon EP1C3T1144C8芯片,致使仿真成功进行,红绿灯,数码管显示正确。可见在仿真过程中选用正确的芯片也极为重要。本设计采用VHDL 硬件描述语言文本输入方式,在确立总体预期实现功能的前提下,分层次进行描述,其中所用到的数据均可依现场情况而设置,修改方便灵活。数字化时代的到来给人们的生活带来了极大的改变,有理由相信随着数字化的深入,交通灯控制器的功能将日趋完善。而且,VHDL 语言对EDA 产生的影响也是深远的,它缩短了电子产品的设计周期,为设计者提供了方便。此次课程设计我收获很大,不仅更熟悉了EDA的知识,同时在仿真中遇到的问题不仅能找出来也可以比较好的解决,大大增强了动手能力。参考文献1电子设计自动化 (孙加存 主编)2 基于EDA的交通灯控制系统的设计(XX文库)

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1