ImageVerifierCode 换一换
格式:DOCX , 页数:28 ,大小:86.06KB ,
资源ID:25213077      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/25213077.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(完整版同步复接器分接器的FPGA设计与实现毕业设计.docx)为本站会员(b****7)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

完整版同步复接器分接器的FPGA设计与实现毕业设计.docx

1、完整版同步复接器分接器的FPGA设计与实现毕业设计毕业论文同步复接器/分接器的FPGA设计与实现一. 复接器的设计本设计采用了将复接器通过软件来实现,尽可能用软件来实现更多的硬件电路1819。在FPGA设计中采用了分层设计,顶层为整个系统的原理框图(见图1),框图中包含了构成同步数字复接器的主要模块,然后按各模块的功能分别进行设计20。图1 四路同步复接器的VHDL建模框图图1的四路同步复接器框图由分频器、内码控制器、时序产生器、内码产生器、输出电路等模块组成2122。分频器模块的作用是用来产生一个256KHZ的时钟信号,内码控制器模块的作用是通过三个地址控制端来控制内码发生器的码字依次输出,

2、时序发生器模块的作用是产生四路时序来控制四路信号的输出,内码产生器模块的作用是将八路并行输入码通过串行输出,输出电路模块的作用是用一个三态门来控制四路信号分时输出,从而实现复接功能。复接器的VHDL设计根据所划分的几大模块分别用VHDL语言去描述,最后用元件调用语句和例化语句将它们连接起来。1. 分频器 分频器实际是一个计数器,在本设计中,其作用是将由晶体震荡电路产生的4096KHZ的方波信号进行分频,其16分频(即256KHZ时钟)输出端作为内码控制器的控制输入端。其建模流程图如图2所示。图2 16分频计数器流程图分频器的VHDL描述程序如下:library ieee;use ieee.st

3、d_logic_1164.all;use ieee.std_logic_unsigned.all;entity count16 is port(clk: in std_logic; d,c,b,a: out std_logic);end count16;architecture rtl of count16 is signal count_4:std_logic_vector(3 downto 0); begin process(clk)begin if(clkevent and clk=1) then if(count_4=1111) then count_4=0000; else coun

4、t_4=count_4+1; end if; end if; end process;d=count_4(0);c=count_4(1);b=count_4(2);a=count_4(3);end rtl;上述程序在Max+plus II时序仿真波形如图3所示。图3 分频器的时序仿真波形图3中,a表示16分频输出,b表示8分频输出,c表示4分频输出,d表示2分频输出。由图中可以看出,实际仿真波形图与理论预期一致。2. 内码控制器内码控制器,实际也是一个分频器,一个输出端口输出三位并行信号作为内码产生器的地址控制端,另一输出端作为时序产生器的控制端。内码控制器对内码产生器的控制功能表如表3.1所

5、示。表3.1 内码控制器对内码产生器的控制功能表内码控制器的3路输出信号(A2A1A0)内码产生器输出信号位(Y0-Y7中选1)A2A1 A0Y000Y0001Y1010Y2011Y3100Y4101Y5110Y6111Y7表3.1中的A2、A1、A0分别表示内码控制器内的二进制分频器的8、4、2分频信号。内码产生器应循环并依次输出从“000”、“001”、一直到“111”。这样,内码发生器每个时钟节拍,输出一位码,通过输出电路送到合路信道上,最终形成一路串行码流。3. 时序产生器 时序产生器可产生脉宽为8个时钟周期的四路时序信号。具体实现是:将内码控制器的二分频端通过一个32分频器,其16分

6、频和32分频输出端作为2/4译码器的控制端,2/4译码器的四个输出端,在经过反相器后,便得出本设计所要求的四路时序。译码器的建模流程图如图4所示。图4 译码器的VHDL建模流程图译码器的VHDL描述程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity yimaqi is port (f2,f1: in std_logic; y3,y2,y1,y0 : out std_logic );end ;architecture rtl of yimaqi is signal indata:

7、std_logic_vector(1 downto 0); signal y:std_logic_vector(3 downto 0); begin indatayyyyy=0000; end case; end process; y3=y(3); y2=y(2); y1=y(1); y0in0_8,d6=in0_7,d5=in0_6,d4=in0_5,d3=in0_4,d2=in0_3,d1=in0_2,d0=in0_1,d=k3,b=k2,c=k1,y=l0);u2:tri_gate0 port map(din0=l0,en=sx0,dout0=out0);end architecture

8、 nm0 ;library ieee;use ieee.std_logic_1164.all;entity mux8_0 isport(d7,d6,d5,d4,d3,d2,d1,d0:in std_logic; d,c,b:in std_logic; y :out std_logic );end mux8_0;architecture rtl of mux8_0 issignal sel:std_logic_vector(2 downto 0);begin sel=d&c&b; with sel select y=d0 when 000, d1 when 001, d2 when 010,d3

9、 when 011, d4 when 100, d5 when 101, d6 when 110, d7 when 111, 0 when others; end rtl;library ieee;use ieee.std_logic_1164.all;entity tri_gate0 isport(din0,en:in std_logic; dout0 :out std_logic);end tri_gate0 ;architecture zas of tri_gate0 isbegin dout0=din0 when en=1 else Z;end zas;内码产生器的仿真波形如图7所示图

10、7 内码产生器的仿真波形图图7中k3、k2、k1表示计数器的3位输入控制端,in0_8到in0_1表示8位并行输入码,out0表示一路串行输出码,由图中可以看出实际仿真结果与理论一致。5. 输出电路 在时序产生器产生的四路时序信号的控制下(时序与内码想与),按顺序依次将四路数码接入同一通道,形成了一路串行码,从而完成了四路数据码的复接。实现的关键是三态与门的利用,就是当时序信号的上升沿到来,并且在高电平持续时间内,相应的八位码以Y0,Y1,Y2,Y3,Y4,Y5,Y6,Y7的顺序依次输出,而在其他情况下,则以高阻的形态出现,当经过一个时序周期(即32个码元)后,就输出一帧串行码,从而实现了四路

11、数据的同步复接。其中三态门的建模如图8所示。 N Y图8 三态门建模流程图三态门的VHDL描述程序如下:library ieee;use ieee.std_logic_1164.all;entity tri_gate0 isport(din0,en:in std_logic; dout0 :out std_logic );end tri_gate0 ;architecture zas of tri_gate0 isbegin dout0=din0 when en=1 else Z;end zas;三态门的时序仿真波形如图9所示。图9 三态门的时序仿真波形图图9中en表示使能信号,din0表示输

12、入信号,dout0表示输出信号,由图中可以看出实际仿真结果与理论一致。6. 四路同步复接器系统的时序仿真仿真如图10所示。 图10 四路同步复接器系统的时序仿真图图10中的s0、s1、s2、s3分别表示不同相位的四路时序信号,每路时序信号的高电平持续时间刚好包含了8个(clk)时钟周期,且在信号时序控制过程中,时序信号的高电平有效。在图11的波形示例中,第1、2、3、4路分路码在时间上分别对应第1、2、3、4路时序信号的高电平持续时间,从图中可以看出一帧该复接器示例的建模与程序设计是正确的。二. 分接器的设计1. 帧同步信号移位和时序信号恢复模块该模块表示帧同步信号移位和时序信号恢复电路。电路

13、图如图11所示:图11帧同步信号移位和时序信号恢复电路原理图帧同步信号移位和时序信号恢复模块的时序波形仿真图如图12所示:图12帧同步信号移位和时序信号恢复电路时序波形仿真图第1路时序信号恢复电路和第1个8位移位寄存器如图12所示。图12中STEPIN表示帧同步信号输入;CLKIN表示时钟信号输入;DATAIN表示合路信号输入;DATAOUT表示串行合路信号输出;DATAOUT1表示帧同步信号移8位后的输出;DATAOUT2表示同步信号移16位后的输出;QOUT1表示第1路时序信号输出。移存器74164的QH表示移8位后的输出,QA表示移一位的输出。第1路时序信号的恢复原理是:帧同步信号经过第

14、1个移位寄存器后,从QA端和QH端分别输出延迟1位和延迟8位的帧同步信号,然后用QA端的帧同不脉冲的下降沿对D触发器置“1”,而用QH端的帧同步脉冲作为D触发的时钟。又因为帧同步脉冲的宽度为一个码元的宽度(即1个时钟周期),那么由上述的两个延迟帧同步信号控制一个D触发器,则可输出一路时序信号。依次类推,第2路时序信号是由延迟9位和延迟16位的帧同步信号分别经过反相器后,再分别加到一个D触发器的置1端和时钟输入端,从该D触发器的Q端输出。第3路时序信号是由延迟17位和延迟24位的帧同步信号分别经过反相器后,再分别加到一个D触发器的置1端和时钟输入端,从该D触发器的Q端输出。第4路时序信号是由延迟

15、25位和延迟32位的帧同步信号分别经过反相器后,再分别加到一个D触发器的置1端和时钟输入端,从该D触发器的Q端输出。在这个模块里的主要芯片是74164,其内部结构如图13所示:图13 芯片74164原理图74164芯片有4个输入端和8个输出端,A、B输入端作为第1个D触发器的电平输入端,CLK作为D触发器的时钟,QA是经过1个时钟延迟的的AB相与的输出,QB是经过2个时钟延迟的的AB相与的输出,依次类推,QH是经过8个时钟延迟的AN相与的输出。2. 串/并变换模块把串行合路信号变换成并行信号的电路原理图如图14所示:图14 串/并变换模块原理图图14中器件74374位8位D触发器;端子CLKI

16、N表示外时钟输入;DATAIN接来图13中的DATAOUT(串行合路)信号;BN的接法根据具体情况而定,当与图13中延迟8位的帧同步信号“DATAOUT1”时,则并行输出为1路支路信号;当与图13中延迟16位的帧同步信号“DATAOUT2”时,则并行输出为2路支路信号;当与图13中延迟24位的帧同步信号“DATAOUT3”时,则并行输出为3路支路信号;当与图13中延迟32位的帧同步信号“DATAOUT4”时,则并行输出为4路支路信号。该串/并变换器具有串并变换状态和状态保持两个功能。串/并变换模块的时序波形仿真图如图15所示:DATAIN一直输入“1”,OUT8OUT1全部输出“1”。符合串/

17、并变换模块功能。图15 串/并变换模块的时序波形仿真图在这个模块里的主要芯片是74374,其内部结构如图16所示:在串/并的模块里的第1个74374的功能是将串行合路信号接入D8端,经过一个D触发器的时钟延迟后,在用Q8作为输入信号接到D7端,同样经过一个D触发器的时钟延迟后,在用Q7作为输入信号接到D6端;这样经过8个D触发器的始终延迟后,原本串行合路的第1位信号就从Q1输出,而原本串信号的第8位信号则从Q8输出。串行合路信号变换成并行信号后,在经过第2个74374锁存输出后,这样8位并行信号就成为同步信号。图16 芯片74374原理图3. 分路器模块分路器模块由四个子模块构成,如图17所示

18、。每个子模块对应一路支路信号分路电路。图17 分路器模块原理图图17中的BCEN表示并/串变换器的并行数据输入与串行移位控制信号,接帧同步信号;DATAIN接串行复用信号;CLKIN接时钟信号;CBEN1至CBEN4分别接四路不同延迟的时序信号;FENOUT1表示第1路串行支路信号输出;FENOUT2表示第2路串行支路信号输出;FENOUT3表示第3路串行支路信号输出;FENOUT4表示第4路串行支路信号输出。分路器模块的时序波形仿真图如图18所示:由图中可知,在CBEN1CBEN4分别有时钟输入的情况下,FENOUT1 FENOUT4分别输出各自的信号。图18 分路器模块的时序波形仿真图图1

19、9中的每个子模块分为三个部分,即串/并变换器、分频器和并/串变换器。每个子模块的由串/并变换器、分频器和并/串变换器组成,如图20所示。串/并变换器的功能是,将接收到的串行复用信号按复用信号的时钟,进行串并变换,并进行状态锁存。图20 分路器子模块原理图并/串变换器的具体电路如图21所示。并/串变换器时钟速率是复用信号对应的时钟速率的四分之一(用分频器74161实现),以保证将复用器帧结构中的一个时隙扩展为一帧的宽度。由图16可知,该串/并变换器还包括状态锁存功能,因此并/串变换器可利用串/并变换器中的状态锁存,以低速时钟对并/串变换器的移位寄存器进行数据的低速移位。该并/串变换器包括两个工作

20、过程,首先完成并行数据的写入功能,在BCEN=“0”期间进行;然后完成数据串行移位功能,在BCEN=“1”期间进行。并/串变换器在BCEN=“0”时,数据从串/并输入到并/串变换器中,由D触发器实现数据锁存功能,将送过来的数据一一对应的D触发器上;在BCEN=“1”时且CLK时钟有效的情况下,OUTPUT依次输出D8D1,D1经过8个D触发器的延迟,所以在最后一位输出。图21 并/串变换器的原理图路信号输出“1,0,1,0,1,0,1,0”符合并/串变换器的功能。图22 并/串变换器的时序仿真图4. 顶层模块在帧同步信号移位和时序信号恢复模块,串/并变换模块,分路器模块三大模块都通过仿真后,就

21、可以构建起顶层模块。顶层模块的原理图如图23所示:图23 顶层模块的原理图5. 四路同步分接器系统的时序仿真图24为四路同步分接器的时序仿真波形。图中,STEPIN为帧同步信号输入;DATAIN为串路复用信号输入;CLKIN为时钟输入;DATAOUT是串行复用信号输出;FENOUT1是第1路支路信号输出;FENOUT2是第2路支路信号输出;FENOUT3是第3路支路信号输出;FENOUT4是第4路支路信号输出。图现了正确分接。图24 四路同步分接器的时序仿真波形学位论文原创性声明 本人郑重声明:所呈交的学位论文,是本人在导师的指导下进行的研究工作所取得的成果。尽我所知,除文中已经特别注明引用的

22、内容和致谢的地方外,本论文不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式注明并表示感谢。本人完全意识到本声明的法律结果由本人承担。学位论文作者(本人签名): 年 月 日学位论文出版授权书本人及导师完全同意中国博士学位论文全文数据库出版章程、中国优秀硕士学位论文全文数据库出版章程(以下简称“章程”),愿意将本人的学位论文提交“中国学术期刊(光盘版)电子杂志社”在中国博士学位论文全文数据库、中国优秀硕士学位论文全文数据库中全文发表和以电子、网络形式公开出版,并同意编入CNKI中国知识资源总库,在中国博硕士学位论文评价数据库中使用和在互

23、联网上传播,同意按“章程”规定享受相关权益。论文密级:公开 保密(_年_月至_年_月)(保密的学位论文在解密后应遵守此协议)作者签名:_ 导师签名:_年_月_日 _年_月_日独 创 声 明本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。本声明的法律后果由本人承担。 作者签名: 二一年九月二十日毕业设计(论文)使用授权声明本人完全了解滨州学院关于收集、保存、使用毕

24、业设计(论文)的规定。本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。(保密论文在解密后遵守此规定)作者签名: 二一年九月二十日致 谢时间飞逝,大学的学习生活很快就要过去,在这四年的学习生活中,收获了很多,而这些成绩的取得是和一直关心帮助我的人分不开的。首先非常感谢学校开设这个课题,为本人日后从事计算机方面的工作提供了经验,奠定了基础。本次毕业设计大概持续了半年,现在终于到结尾了。本次毕业

25、设计是对我大学四年学习下来最好的检验。经过这次毕业设计,我的能力有了很大的提高,比如操作能力、分析问题的能力、合作精神、严谨的工作作风等方方面面都有很大的进步。这期间凝聚了很多人的心血,在此我表示由衷的感谢。没有他们的帮助,我将无法顺利完成这次设计。首先,我要特别感谢我的知道郭谦功老师对我的悉心指导,在我的论文书写及设计过程中给了我大量的帮助和指导,为我理清了设计思路和操作方法,并对我所做的课题提出了有效的改进方案。郭谦功老师渊博的知识、严谨的作风和诲人不倦的态度给我留下了深刻的印象。从他身上,我学到了许多能受益终生的东西。再次对周巍老师表示衷心的感谢。其次,我要感谢大学四年中所有的任课老师和

26、辅导员在学习期间对我的严格要求,感谢他们对我学习上和生活上的帮助,使我了解了许多专业知识和为人的道理,能够在今后的生活道路上有继续奋斗的力量。另外,我还要感谢大学四年和我一起走过的同学朋友对我的关心与支持,与他们一起学习、生活,让我在大学期间生活的很充实,给我留下了很多难忘的回忆。最后,我要感谢我的父母对我的关系和理解,如果没有他们在我的学习生涯中的无私奉献和默默支持,我将无法顺利完成今天的学业。四年的大学生活就快走入尾声,我们的校园生活就要划上句号,心中是无尽的难舍与眷恋。从这里走出,对我的人生来说,将是踏上一个新的征程,要把所学的知识应用到实际工作中去。回首四年,取得了些许成绩,生活中有快

27、乐也有艰辛。感谢老师四年来对我孜孜不倦的教诲,对我成长的关心和爱护。学友情深,情同兄妹。四年的风风雨雨,我们一同走过,充满着关爱,给我留下了值得珍藏的最美好的记忆。在我的十几年求学历程里,离不开父母的鼓励和支持,是他们辛勤的劳作,无私的付出,为我创造良好的学习条件,我才能顺利完成完成学业,感激他们一直以来对我的抚养与培育。最后,我要特别感谢我的导师赵达睿老师、和研究生助教熊伟丽老师。是他们在我毕业的最后关头给了我们巨大的帮助与鼓励,给了我很多解决问题的思路,在此表示衷心的感激。老师们认真负责的工作态度,严谨的治学精神和深厚的理论水平都使我收益匪浅。他无论在理论上还是在实践中,都给与我很大的帮助,使我得到不少的提高这对于我以后

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1