ImageVerifierCode 换一换
格式:DOC , 页数:16 ,大小:291.50KB ,
资源ID:2490836      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/2490836.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于EDA的交通灯控制系统设计.doc)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于EDA的交通灯控制系统设计.doc

1、2008级学生EDA课程设计 EDA课程设计报告书课题名称 基于EDA的交通灯控制系统设计姓 名陈 勇学 号 0812201-08 院 系物理与电信工程系专 业电子信息工程指导教师田旺兰 讲师2011年 6月10日 一、设计任务及要求:设计任务: 设计一个具有四种信号灯的交通灯控制器。要 求: 1由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄、左拐允许四盏信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外,左拐灯亮允许车辆向左拐弯。2信号灯变换次序为:主支干道交替允许通行,主干道每次放行40秒,亮5秒红灯让行驶中的车辆有时间停到禁行线外,左拐放

2、行15秒,亮5秒红灯;支干道放行30秒,亮5秒黄灯,左拐放行15秒,亮5秒红灯。各计时电路为倒计时显示。指导教师签名: 2011 年 月 日 二、指导教师评语:指导教师签名: 2011 年 月 日 三、成绩验收盖章 2011 年 月 日 基于EDA的交通灯控制系统设计1 设计目的(1)掌握十字路口交通灯控制的设计原理,并能够运用VHDL编程语言编写出实验程序,进一步对所学的EDA知识进行掌握与实际应用。(2)学会在quartusII软件环境中仿真,熟悉软件的基本操作和运行环境。(3)锻炼自己获取信息的能力,以及能够独立自主的思考和解决问题的能力。2设计的主要内容和要求设计一个基于EDA的交通灯

3、控制系统,所要设计的交通信号灯控制电路要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口。能够做到主、支干道的红绿灯闪亮的时间不完全相同,在绿灯跳变红灯的过程中能够用黄灯进行过渡,使得行驶过程中的车辆有足够的时间停下来。还要求在主、支干道各设立一组计时显示器,能够显示相应的红、黄、绿倒计时。可以利用VHDL语言合理设计系统功能,使红黄绿灯的转换有一个准确的时间间隔和转换顺序。3 整体设计方案根据设计要求和系统所具有功能,并参考相关的文献资料,经行方案设计,画出如下所示的十字路口交通灯控制器系统框图,及为设计的总体方案,框图如图3.1所示。并且可以得出系统的状态图如图3.2所示,其中

4、:S0:支干道没有车辆行驶,支干道绿灯,支干道红灯S1:支干道有车辆行驶,支干道绿灯,支干道红灯S2:主干道黄灯,支干道绿灯S3:主干道红灯,支干道绿灯S4:主干道红灯,支干道黄灯CLK时钟分频模块交通灯控制及计时模块扫描显示模块LED显示数码管位码数码管段码图3.1 整体设计方框图 图3.2 系统状态图4 硬件电路的设计4.1 顶层文件原理图 根据以上设计思路,可以得到如下的顶层文件原理图如4.1所示,具体实物模块如图4.2所示。 图4.1顶层文件原理图 图4.2顶层文件的实体图 图4.3时钟分频器模块4.2时钟分频器模块设计分频器实现的是将高频时钟信号转换成低频时钟信号,用于触发控制器、计

5、数器和扫描显示电路。系统的动态扫描需要1HZ的脉冲,而系统时钟计时模块需要1HZ的脉冲。分频模块主要为系统提供所需的时钟计时脉冲。该模块将1kHZ的脉冲信号进行分频,产生1S的方波,作为系统时钟计时信号。具体实物模块如图4.3所示。4.3控制及计时模块设计控制模块根据外部输入信号和计时模块产生的输出信号,产生系统的状态机,控制其他部分协调工作。计时模块用来设定主干道和支干道计时器的初值,并为扫描显示译码模块提供倒计时时间。控制及计时模块采用状态机进行设计,可以定义出5种状态,分别为S0:主干道绿灯,支干道红灯且没有车辆行驶;S1:主干道绿灯,支干道红灯或支干道有车辆驶入;S2:主干道黄灯,支干

6、道红灯;S3:主干道红灯,支干道绿灯;S4:主干道红灯,支干道黄灯。利用CASE语句定义状态的转换方式及时间的变换方式,达到主干道绿灯亮45秒,支干道绿灯亮25秒,黄灯亮5秒的设计要求。具体实物模块如图4.4所示,其中:CAR为支干道车辆检测开关在支干道有车的情况下,模块可以进行减计时CLK1S为1S的时钟脉冲TIME1H、TIME1L、TIME2H、TIME2L分别为主干道时钟高位、主干道时钟低位、支干道时钟高位、支干道时钟低位LED为LED灯发光情况,分别为主干道绿灯、主干道黄灯、主干道红灯、支干道绿灯、主干道黄灯、主干道红灯 图4.4控制电路模块Count的总的系统时间,用来改变系统的状

7、态4.4 译码显示电路设计根据状态控制器所控制的状态和计数器的计时时间,选择当前状态下的根据状态控制器所控制的状态和计数器的计时时间,选择当前状态下的采用动态扫描显示。具体实物模块如图4.5所示。 图4.5译码显示电路模块4.5 顶层文件的编写将以上各个单元模块仿真成功后,再进行顶层文件的编写。将各个单元模块的变量赋值给顶层文件,从而将各个单元模块连接起来,统一调配。得到顶层文件的实体模块如图4.2所示。其中:CLK为1KHZ系统时钟脉冲CAR为支干道车辆行驶情况,高电平为有车行驶,低电平为无车行驶LED为交通灯发光情况SEL为数码管位码扫描SEG为数码管段码5 软件设计5.1 时钟分频模块:

8、LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fp ISPORT(clk:IN STD_LOGIC; CLK1S:OUT STD_LOGIC);END fp;ARCHITECTURE one OF fp ISSIGNAL N: STD_LOGIC_VECTOR(9 DOWNTO 0);BEGINPROCESS(clk)BEGIN IF clkEVENT AND clk=1 THEN N=N+1;END IF;END PROCESS;CLK1S=N(9);END one;5.2 交通

9、灯控制及计时模块:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY kz ISPORT(CLK1S,car:IN STD_LOGIC;-1S脉冲,支干道车辆检测TIME1H,TIME1L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-支干道计时TIME2H,TIME2L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-支干道计时count:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); -系统总计时led:OUT STD_L

10、OGIC_VECTOR(5 DOWNTO 0); -交通灯显示END KZ;ARCHITECTURE one OF kz ISTYPE states IS (s0,s1,s2,s3,s4,s5); -状态初始化SIGNAL current_state,next_state :states;SIGNAL c:STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINREG:PROCESS(CLK1S,car,current_state,c)BEGINIF car =0THEN current_state = s0;c=0000000;ELSEIF CLK1SEVENT AND CLK1

11、S =1 THEN -支干道有车开始计数c =c+1;current_state LED =100001; -支干道无车不减计时TIME1H=0100;TIME1L=0101;TIME2H=0101;TIME2L=0000;IF car = 1 THEN next_state = s1;ELSE next_state LED =100001; -主干道绿灯,支干道红灯IF c=0101100 THEN next_state = s2;ELSE next_state LED =010001; -主干道黄灯,支干道红灯IF c=0110001 THEN next_state = s3;ELSE n

12、ext_state LED =001100; -主干道红灯,支干道绿灯IF c=1001010 THEN next_state = s4;ELSE next_state LED =001010; -支干道黄灯,主干道红灯IF c=0110001 THEN next_state = s5;ELSE next_state LED =100001; next_state = s0;END CASE;IF c=0101101 THEN TIME1H=0000;TIME1L=0101; -系统时间为45,主干道黄灯计时5秒END IF;IFc=0110010 THENTIME1H=0011;TIME1L=0000;TIME2H=0010;TIME2L=0101;-系统时间为50,主干道计时30秒,支干道计时25秒END IF;IF c=1001011 THEN TIME2H=0000;TIME2L=0101;-系统时间为75,支干道黄灯计时5秒END IF;IFc=1010000THENTIME1H=0100;TIME1L=0000;TIME2H=0101;TIM

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1