ImageVerifierCode 换一换
格式:DOCX , 页数:28 ,大小:192.86KB ,
资源ID:24807487      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/24807487.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(七人表决器hdl.docx)为本站会员(b****4)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

七人表决器hdl.docx

1、七人表决器hdl* * 2010 级 EDA课程设计 EDA 课程设计报告书课题名称七人表决器设计姓名韩正风学号201009110113学院信息学院专业通信工程一班指导教师李岩* *2012 年 12 月目录 -报告内容 -一、 设计目的 -二、 设计要求 -三、 使用说明 -四、 流程图设计 -五、 程序设计 -六、 实验过程及截图 -七、 设计总结 -八、 实验心得 -九、 参考文献 -* *七人表决器设计一、设计目的1.熟悉 Quartus II 软件的使用。2.熟悉七人表决器的工作原理3.熟悉 EDA 开发的基本流程。* *二、设计要求表决器就是对于一个行为,由多个人投票,如果同意的票

2、数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器由七个人来投票, 当同意的票数大于或者等于 4 人时,则认为同意;反之,当否决的票数大于或者等于 4 人时,则认为不同意。实验中用 7 个拨挡开关来表示七个人,当对应的拨挡开关输入为 1时,表示此人同意;否则若拨挡开关输入为 0时,则表示此人反对。表决的结果用一个 LED 表示,若表决的结果为同意,则 LED 被点亮;否则,如果表决的结果为反对,则 LED不会被点亮。同时用七段显示数码管来显示同意的人数。完成 7 人表决电路设计, LED 灯表示通过、否决。(1 )开关表示赞成与否, 18 编号( 1 赞成);(2

3、)LED 显示表决的结果;(3 )数码管分别显示赞成、否决的人数;(4 )工作时钟 100Hz 即可;(5 )完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。三、使用说明* *本设计就是利用 CPLD/FPGA+ 实验箱中的按钮开关模块和 LED 模块来实现一个简单的七人表决器的功能。 按钮开关模块中的 K1 K7 表示七个人,当按钮开关按下表示输入为 1 时,表示对应的人投同意票, 否则当按钮开关输入为 0 时,表示对应的人投反对票; LED模块中 D8 表示七人表决的结果,当同意数大于 3 人的时候, D8 点亮表示一致同意,否则D8

4、熄灭表示一致反对,同时使用试验台的数码管分别显示同意的人数及不同意的人数。四、流程图设计七个人开始投票表决统计同意的人数 jN判断 j 是否大于 3YD8 点亮 D8 熄灭大板显示统计结果中同意的人数五、程序设计:该程序设计使用 VHDL 语言编写来实现工程的仿真运行:library ieee;* *use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity feng isport ( reset : in std_logic ;xin: in std_logic_vector ( 6 downto 0 );sel: o

5、ut std_logic;xout : out std_logic_vector ( 3 downto 0 );xout0:out std logic vector(3 downto 0));end entity ;architecture bev of feng isbeginprocess ( xin )variable j: integer :=0;beginj:=0;for i in 0 to 6 loopif xin(i)=1 thenj:=j+1;end if;end loop;case j is* *when 0 =xout xout xout xout xout xout xo

6、ut xout null;end case;case j iswhen 7 =xout xout xout xout xout xout xout xout null;end case;* *if j3 thensel=1;else sel=0;end if;end process;end architecture bev;六、实验过程及截图1 、打开 Quartus II 软件2、创建工程* *3、运行程序4、选择器原理图* *5、仿真调试6、引脚绑定* *七、设计总结:在设计的过程中,我熟悉了 Quartus II 的基本使用方法,熟悉了EDA 程序的运行环境,对各个运行器件及操作按钮有了

7、新的认识和了解,熟悉了VHDL语言,能够利用 VHDL 语言实现简单基本的程序,同时也了解了试验台上大板和小板的数码管的极性。 在对按键 K1-K7 进行统计时, 采用了按位统计的方法,利用简单的 FOR 循环。通过这次 EDA 的课程设计,我进一步的了解了EDA 的编程技术及其应用。 EDA 作为现在电子行业的热门技术之一,有着较强的专业性和实用性 ,而此次的 EDA 小学期给我们接触 EDA 技术的机会,同时为以后的就业提供了很好的演练平台。八、实验心得通过这段时间的 EDA 课程设计,我更加熟练的掌握了 EDA 开发的基本流程,熟练地使用 Quartus II 软件进行编程,在老师和同学的帮助下,顺利完成了七* *人表决器的相关设计,在此表示感谢。此外,我还在课程设计的过程中,发现自身的许多不足之处, 在今后的学习中还需要更加的努力, 加强这些方面的学习和锻炼。九、参考文献:1延明,张亦化 .数字电路 EDA 技术入门 .北京 :邮电大学出版社, 20062徐惠民,安德宁.数字逻辑设计与 VHDL 描述.北京 :机械工程出版社, 20023潘松 ,王国栋 . VHDL 实用教程 .成都 :电子科技大学出版社, 2001

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1