ImageVerifierCode 换一换
格式:DOCX , 页数:15 ,大小:557.58KB ,
资源ID:24719451      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/24719451.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(XC3S200ALab1Counter4VHDL.docx)为本站会员(b****3)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

XC3S200ALab1Counter4VHDL.docx

1、XC3S200ALab1Counter4VHDLLab1:Counter4.npl实验说明 : 使用ISE9.1i设计Counter4的VHDL语法与操作说明在这一个范中,你将开启一个counter4 的模块,与此项目所需的UCF 文件,并且用ISE09.1i 的软件完成整个设计程,并且将设计结果用JTAG接口连接烧录到MB_XC3S200A_FT256开发板中,验证其设计结果是否正确? 此设计同时用ISE9.1i 的仿真功能验证结果的正确性?. 实验目地 : 当你完成整个项目之后,你将学会以下的功能. (1).用ISE9.1i 的软件开启一个 Spartan3A FPGA 的项目.(2).撰

2、写一个简单的VHDL 程序,用语法检查器(Syntax Check)修正语法的错误(3).产生测试模板(Test Pattern) 辅助你的设计. (4).加入系统所需的Constraints 文件.(UCF file) (5).完成整个设计程.并产生Counter4.bit 文件(6).用FPGA Editor 察看FPGA 内部的结果, (7).用Impact 烧录Counter4.bit 文件到FPGA. 系统需求: PC 个人计算机 ISE9.1i 软件 Counter4.VHD 文件 Counter4.UCF 文件 Platform Cable USB XC3S200A_FT256开

3、发板电源供应器(DC5V 输入). 操作步骤:开启ISE9.1i软件: 程序集 Xilinx ISE 9.1i Project Navigator . 会出现ISE9.1i 的画面,画面开启之初, 会出现Tip of the Day, 可以将之关掉后,出现以下的画面. (2).在 ISE9.1 软件环境下,开启一个新的工程: File New Project Project Name : Lab1_counter4Project Location: c: Lab1_counter4 (依使用者设定的目录).Top-Level Module Type : HDL( 代表最上层的设计模块是以HDL

4、 输方方式的模组.(3).下一个画面就是设定硬件组件的参数FPGA系(Device Family):Spartan3A( 请看板子的FPGA 组件的编号) FPGA名称(Device) : XC3S200A(请参考开发板的FPGA 组件的编号) FPGA包装(Package):FT256(请参考开发板的FPGA 组件的编号) FPGA速等级(Speed): -4 ( FPGA 速等级) 综合器工具(Synthesis Tool):XST VHDL(VHDL综合的种类) 仿真器(Simulator) : ISE Simulator(ISE9.1 内建的仿真器) 产生仿真器的语言(Generate

5、d Simulation Language) : VHDL (4).下一个画面Create a New Source 先跳过,按下一步. 下一个画面Add a Existing Source 亦跳过,按下一步. 此时出现此项目所有设定的信息,需重新设定,则可上一步. 无误,则按完成;(5).此时出现一个项目的架构,可以允许使用者开始进项目的设计.(6).加入新的设计文件: Project New Source;选择VHDL Module,并设定文件名称为Counter4(7).用Wizard( 向导),设定此设计的输入有clock,direction,reset 三种信号, 输出有count_

6、out(3 downto 0)等信号.(8).按下一步,再按完成;此时项目加入此模块之后,在Source In Project 的窗口中会出现counter4.vdh 在专案中.(9). 以双击counter4.vhd 之后,在右边的工作区可以看到counter4.vhd 的文件内容,此时可以修改或改变设计内容,在修改完成之后,用File Save储存文件. 在撰写counter4.vhd 内容之时,可以参考ISE 所附的Language Template选择VHDL Synthesis ConstructsCoding ExamplesCountersBinary ,可以找到相关语法范例.a

7、rchitecture Behavioral of counter4 issignal count_int : std_logic_vector(29 downto 0);begincount_out = count_int(29 downto 26);process (clock, reset)begin if reset=0 then count_int 0); elsif rising_edge(clock) then if direction = 1 then count_int = count_int + 1; else count_int = count_int - 1; end

8、if; end if;end process;end Behavioral;(10). 第一次进编译的工作,以确认设计的正确性与否?在Project 的窗口中,直接以双击Generate Programming File 的选项.此时ISE9.1 会自动执并产生可以Download 的.bit 文件,此步骤是最直接验证设计工作的正确性与否?在每一个程序都出现打勾,代表O.K.有一个程序都出现打叉X的符号,代表有错误,可以依显示结果侦错.(11). 在实际烧录FGPA之前位了要验证设计的正确性,可以先用测试模板(Testbench)验证设计的正确性.Project New Source Test

9、bench Waveform Filename=counter4_tbSelect Source Counter4设定clock 为50Mhz 的输入(周期为20ns)(12).依使用者需求更改输入端数据,已达到验证效果,设定完后存档进行模拟,如下图所示:(13). 在Sources选取Behavioral Simulation Processes ModelSim Simulator 双击Simulate Behavioral Model,执之候,出现模拟的结果.1).可在输出脚count_out3:0按右键,选取Decimal(Unsigned),将结果以十进制显示,以方便验证结果正确.(

10、14) 同样地,选择Simulate Post Place & Route HDL Model 查看经过Place and Route 之后,含有时间延迟的输出波形, 并且用 Measure Meter 测其延迟的时间(15). 使用者比较习惯使用Modelsim 模拟结果,可以先将仿真器改Modelsim的版本 1).先点选Source Windows的xc3s200a-4-ft256,再点选Souce Properities 2).直接模拟就可以得到结果.如果无法模拟或是有任何疑问,可以参考”如何安装ModelSimSE6.0”手册.(16). 再需设定I/O 脚的位置,可以用Counte

11、r4.UCF 设定I/O 脚的位置,以得到正确的输出文件. Project New Source. 设定输入的文件格式为Implementation Constraints File 文件名称为Counter4(自动储存为Counter4.UCF) (17).点选Source in Project窗口内的Counter4.ucf,用Assign Package Pins 中的LOC 之接输入输出脚的脚位,也可以利用鼠标点选信号后,以鼠标拖曳到右边的脚位上即可,输入后储存文件.(相关脚位定义请参考使用手册得知). ClockP9DirectionE6ResetK5Count_out(0)M14C

12、ount_out(1)M13Count_out(2)K13Count_out(3)L13(18). 重新执行Generate Programming File, 确认设计无误.(19) 想要知道FPGA 最后实现在芯片内的况,则可以Project View 窗口内的Implement Design Place and Route View/Edit Routed Design(FPGA Editor) 查看其最后实现在FPGA 内部的况.(20) 在Process for Source窗口中,点选View Design Summary, 可以浏览项目设计的报告, 包含FPGA 使用,Repor

13、t .(21).在完成设计验证之后,就可以将Counter4.bit 写入到FPGA 内部,此时点选Project View 窗口内的Generate Programming File Configure Device (iMPACT) , 出现Impact 的窗口.选取Finish,进入自动联机,请事先连接JTAG接口与供应板子电压. (22) 此时JTAG Cable 会扫描JTAG Chain 的径中的FPGA 组件,扫描成功则出现所扫描的组件,并跳出选择文件的窗口. 选择文件之后,出现警告的窗口,按确定,进入下一步.(23)此时出现的窗口,则表示出XC3S200A 的待烧录文件为Counter4.bit.以鼠标点选FPGA 组件,FPGA 会变为绿色,同时出现Program Options 窗口,按OK 开始烧录.(24)FPGA 烧录成功,则出现Programming Succeeded programming 成功

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1