ImageVerifierCode 换一换
格式:DOCX , 页数:22 ,大小:88.96KB ,
资源ID:24675107      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/24675107.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(EDA在通信中的应用实验指导书32学时.docx)为本站会员(b****5)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

EDA在通信中的应用实验指导书32学时.docx

1、EDA在通信中的应用实验指导书32学时EDA在通信中的应用实 验 指 导 书 石家庄经济学院通信工程教研室 2014-8-21 注 意 事 项1. 实验课旨在训练学生发现问题、分析问题、解决问题的能力,学生应本着尊重事实、认真的态度进行实验。2. 爱护实验设备,自觉维护实验室卫生。3. 实验课实行验收评分制度。4. 实验成绩=实验课评分*60%+实验报告*40%。5. 不允许缺席,如缺席,不论是否提交实验报告,该次实验成绩以0记。6. 不允许迟到,迟到按旷课记。7. 实验课之前应做好准备,熟悉实验内容,复习实验所涉及的课本相关内容。8. 实验课上,如果遇到问题,应勤于动脑,经过思考尚不能解决的

2、,应不耻下问,向老师请教,以免耽误有限的实验课时间,影响实验课效果。9. 实验课后,按照要求认真、独立完成实验报告,实验报告要对给出的实验结果进行分析。按时提交。如不提交实验报告,实验成绩以0记。目 录实验一 QUARTUS设计入门 4实验二 QUARTUS设计进阶 4实验三 VHDL语法练习8实验四 VHDL设计入门11实验五 顺序语句的应用14实验六 并行语句的应用19实验七 元件例化及端口映射语句的应用22实验八 子程序语句的应用22实验九 有限状态机设计22实验一十 串/并转换器和并/串转换器设计24实验一十一 基带码编码模块设计25实验一十二 基带码解码模块设计26实验一十三 信道编

3、码模块设计28实验一十四 信道解码模块设计28实验一十五 基带传输通信系统发送模块设计30实验一十六 基带传输通信系统接收模块设计31实验一 QUARTUS设计入门一 实验目的:QUARTUS是Altera公司提供的EDA工具,是当今业界最优秀的EDA设计工具之一,提供了一种与结构无关的设计环境,使得电子设计人员能够方便地进行设计输入、快速处理和器件编程。通过本次实验使学生熟悉QUARTUS软件的安装,基本界面及基本操作,掌握图形输入法进行电路设计的步骤。二 实验仪器:1.PC机一台2.QUARTUS2软件3.EDA实验箱三 实验内容:1 熟悉QUARTUS基本界面及操作。2 设计半加器。半加

4、操作就是求两个加数A、B的和,输出本位和数S及进位数C,其逻辑状态如表1.1所示。表1.1 半加器逻辑状态表 ABCS 00000101100111103 利用图形输入法完成设计文件输入。4 对设计进行编译、仿真,并记录波形仿真图。5 将设计下载到实验箱,进行硬件的仿真验证。四 实验报告要求:1 给出设计思路。2 详细叙述利用QUARTUS图形输入方式进行电路设计的步骤。主要步骤给出相应的结果。对最终的波形仿真进行分析,得出结论。实验二 QUARTUS设计进阶一 实验目的:本实验是一个简单的设计型实验,旨在让学生通过本次实验,进一步掌握利用QUARTUS2进行层次化电路设计的全过程,体会EDA

5、自顶向下的设计思想。二 实验仪器:1.PC机一台2.QUARTUS2软件3.EDA实验箱三 实验内容:.8选1数据选择器设计。要求自上而下的设计方法,即先进行顶层设计,由两个4选1数据选择器的模块构成。然后设计底层模块4选1数据选择器,可用与非门和非门设计4选1数据选择器。四 实验报告要求:1 给出设计思路。2 详细叙述利用QUARTUS图形输入方式进行电路设计的步骤。主要步骤给出相应的结果。对最终的波形仿真进行分析,得出结论。 3 思考题:自顶向下的设计思想有什么优越性? 实验三 VHDL语法练习一 实验目的:本实验是通过对给定VHDL程序检错、纠错,使学生熟悉VHDL程序结构,掌握VHDL

6、语言的基本语法规则,并掌握QUARTUS文本输入法进行组合逻辑电路设计的设计步骤。二 实验仪器:1.PC机一台2.QUARTUS2软件3.EDA实验箱三 实验内容:1. 改正下列所给出的VHDL程序中存在的语法错误。(1) library ieee;use ieee.std_logic_1164.all; entity nand is port(a,b: in std_logic; y: out std_logic); end nand; architecture behave of nand process(a,b) variable comb : std_logic_vector(0 do

7、wnto 1); begin comb:=a&b; case comb is when”00”=yyyyyyyy=0; end case; end process;end behav2;(3) LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY alu_ab IS PORT(a,b:IN STD_LOGIC_VECTOR(7 DOWNTO 0); operate:IN STD_LOGIC_VECTOR(1 DOWNTO 0); result:OUT STD_LOGIC_VECTOR(7

8、DOWNTO 0);END entity alu_ab;ARCHITECTURE behave OF alu_ab IS CONSTANT plus:STD_LOGIC_VECTOR(1 DOWNTO 0)= b”00” ; CONSTANT minus:STD_LOGIC_VECTOR(1 DOWNTO 0)= b”01”; CONSTANT and_ab:STD_LOGIC_VECTOR(1 DOWNTO 0)= b”10”; CONSTANT or_ab:STD_LOGIC_VECTOR(1 DOWNTO 0)result:=a+b; WHEN minus=result:=a-b; WH

9、EN and_ab=result:=a AND b; WHEN or_ab=result:=a OR b; WHEN OTHERS=result:=a; END CASE; END PROCESS;END architecture behave;2. 分析其功能。3. 编译仿真。实验四 VHDL设计入门一 实验目的:本实验是填空补全程序,旨在让学生进一步掌握VHDL设计的结构,掌握常用VHDL语法要素,并掌握QUARTUS文本输入法进行时序逻辑电路设计的设计步骤。二 实验仪器:1.PC机一台2.QUARTUS2软件3.EDA实验箱三 实验内容:1.填空。下面程序是n输入与门的VHDL描述._

10、_ ieee;use _.all;entity andn is _ _ (n : integer); - 类属参数声明 port (a: instd_logic_vector( _ _ downto 0); c: outstd_logic);end entity andn;_ behav of _ is - 结构体声明begin process (_ _) _ int : std_logic; - 变量声明 begin int := _; - 变量赋初值 for I in alength 1 downto 0 loop - 循环判断 if (a(i) = 0) then int := 0; e

11、nd if; end loop; c = _ _; - 输出判断结果 end process;end behav;2. 分析下面程序实现的功能,并分别生成元件,用图形输入法完成顶层设计。编译仿真,下载到实验箱硬件验证。(1)library ieee;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY countBCD ISPORT(clk,clr,en:IN STD_LOGIC;q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END countBCD;ARCHITECTURE behav O

12、F countBCD ISSIGNAL count_4:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINq=count_4;PROCESS(clk,clr)BEGIN IF(clr=1)THEN count_4=0000; ELSIF(clkevent AND clk=1)THEN IF(en=1)THEN IF(count_4=1001)THEN count_4=0000; ELSE count_4dataoutdataoutdataoutdataoutdataoutdataoutdataoutdataoutdataoutdataoutdataoutdataoutdata

13、outdataoutdataoutdataoutdataout=NULL; end case;end process;END dec7s_architecture;实验五 顺序语句的应用一 实验目的:本实验是设计型实验,旨在让学生掌握使用VHDL常用顺序描述语句if语句、case语句的设计常见组合逻辑电路的方法。二 实验仪器:1.PC机一台2.QUARTUS2软件3.EDA实验箱三 实验内容:1. 用case语句设计8421BCD码十进制转换器,其逻辑关系如下表1所示。输入输出00001111111110000111111111010010111111101100111111110111010

14、011111011110101111101111101101110111111011111011111111000101111111110010111111111输入输出SD7D6D5D4D3D2D1D0A2A1A0111100000010001011001001110011011110100011111010101111110110011111110111011111111111表1 8421BCD码十进制转换器表2 优先级编码器2 用if语句设计优先级编码器。逻辑关系如表2所示。3. 选作题:用loop语句设计百人表决器。即有100人参与,若50人以上(不含50)赞成,则投票通过,否则不通

15、过。实验六 并行信号赋值语句的应用一 实验目的:本实验包括一个程序改写和一个设计型实验,旨在使学生掌握VHDL常用并行语句信号赋值语句的使用。二 实验仪器:1.PC机一台2.QUARTUS2软件3.EDA实验箱三 实验内容:用VHDL语言编程实现数据选择器MUX,其系统模块图和功能表分别如下图6-1、表6-1所示。要求:分别用 when-else条件信号赋值语句、选择信号赋值语句来描述该数据选择器MUX的结构体。 图6-1MUX系统模块图 表6-1MUX系统功能表四 实验报告要求分别给出设计文件及仿真结果。并比较几种设计。实验七 元件例化及端口映射语句的应用一 实验目的: 本实验是一个设计型实

16、验,旨在让学生掌握元件例化component及端口映射port map语句的使用。二 实验仪器:1.PC机一台2.QUARTUS2软件3.EDA实验箱三 实验内容:1. 用component语句两次调用元件MUX21A实现双2选1多路选择器MUXK并仿真。图7-1所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s=0和s=1时,分别有y=a和y=b。图7-1 双2选1多路选择器构成的电路MUXK2. 选做题:将下面电路原理图转化成VHDL程序,要求使用元件例化和端口映射语句。实验八 子程序语句的应用一 实验目的: 本实验是一个设计型实验,旨在让学生掌握子程序语句函数语句和

17、过程语句的使用。二 实验仪器:1.PC机一台2.QUARTUS2软件3.EDA实验箱三 实验内容:图8-1所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s=0和s=1时,分别有y=a和y=b。图8-1 双2选1多路选择器构成的电路MUXK(1). 将MUX21A定义为过程,两次调用过程生成MUXK,并仿真。(2). 将MUX21A定义为函数,两次调用函数生成MUXK,并仿真。实验九 VHDL有限状态机设计一 实验目的:本次实验是设计型实验,通过本次实验,使学生深入理解状态机的VHDL描述的固定语句表达方法,掌握状态机设计的状态说明方法、主控时序进程和主控组合进程的功能及

18、实现方法。二 实验仪器:1.PC机一台2.QUARTUS2软件三 实验内容:1. 已知状态机状态图如图所示;完成下列各题:(1)试判断该状态机类型,并说明理由。(2)根据状态图,写出有限状态机的VHDL描述。(3)给出仿真结果。2. 选做题:序列检测器就是检测一组或多组序列信号,在数字通信、雷达和遥控遥测等领域中用于检测同步识别标志。本例要求检测器连续收到一组串行码(1110010)后,输出检测标志为1;否则,输出为0。实验十 串并转换器设计一 实验目的: 本实验是一个设计型实验,旨在使学生熟练掌握使用VHDL语言(进程语句、LOOP语句)进行通信系统常用串并转换器的设计。二 实验仪器:1.P

19、C机一台2.QUARTUS2软件3.EDA实验箱三 实验内容: 串并转换器是电子通信系统经常用到的部件,比如在OFDM系统中,需要将串行的高速数据流分成多路并行的低速数据流。串/并转换一般是利用移位寄存器实现的。请用VHDL语言设计串并转换及并串转换器。四 实验报告要求:1 详细给出设计思路。2 给出设计文件,并逐行添加注释。3 给出仿真结果,并分析得出结论。 实验十一 基带码发生器设计一 实验目的:本实验是一个设计型实验,旨在使学生掌握使用VHDl语言设计通信系统常用基带码发生器的方法。二 实验仪器:1.PC机一台2.QUARTUS2软件3.EDA实验箱三 实验内容: 实际基带传输系统中,并

20、不是所有基带电波形都能在信道中传输。如:含有丰富直流和低频成分的基带信号就不适宜在信道中传输,因为它有可能造成信号的畸变。常用传输码: AMI码(信号交替反转码Alternate Mark Inversion)是一种将消息代码0(空号)和1(传号)按如下规则编码的码。编码规则:00 , 1交替变换为+1,-1,通常脉冲宽度为码元周期之半,形成三元的双极性归零码。 消 息: 1 0 0 1 1 0 0 0 1 1 1 AMI码:+1 0 0 -1 +1 0 0 0 -1 +1 -1 特点: (1)基带信号正、负脉冲交替,0电位保持不变。因此没有直流成分。 (2)全波整流后即为单极性RZ码。 (3

21、)原信号出现长串0时,信号电平长时间不跳变,不利于提取高质量的位同步信号(位同步抖动大)。四 实验报告要求:1 详细给出设计思路。2 给出设计文件,并逐行添加注释。3 给出仿真结果,并分析得出结论。实验十二 基带码解码模块设计一 实验目的:本实验是一个设计型实验,旨在使学生掌握通信系统中在接收端将基带码还原为发送信号的VHDL设计方法。二 实验仪器:1.PC机一台2.QUARTUS2软件3.EDA实验箱三 实验原理: 同实验十一。四 实验报告要求:1 详细给出设计思路。2 给出设计文件,并逐行添加注释。3 给出仿真结果,并分析得出结论。实验十三 信道编码模块设计一 实验目的:本次实验是设计型实

22、验,旨在使学生掌握通信系统中常用的差错控制编码电路的设计。二 实验仪器:1.PC机一台2.QUARTUS2软件三 实验内容:用VHDL语言设计实现奇偶校验电路的编码电路。 在数据传输过程中,由于受到噪声干扰或传输中断等因素的影响容易使接收到的数据出现误码。为了能及时可靠地把数据传输给对方并有效地检测错误,在传输时有必要进行校验,而奇偶校验是常用的一种检错码奇偶校验码是一种通过增加冗余位使得码字中”1”的个数恒为奇数或偶数的编码方法。其局限性是只能检测出奇数个错误,但由于其简单方便,在数据传输的差错控制上仍然得到广泛的使用。 奇偶监督码可分为奇数监督码和偶数监督码两种,两者的原理相同。在偶数监督码中,无论信息位有多少,监督位只有一位,它使码组中“1”的数目为偶数,即满足下式条件a0为监督位,其他为信息位。表8-1中的编码,就是按照这种规则加入监督位的。这种码能够检测奇数个错码。在接收端,按照上式将码组中各码元相加(模2),若结果为“1”。就说明存在错码,为“0”就认为无错。奇监督码情况相似, 只是码组中“1”的数目为奇数。四 实验报告要求:1 详细给出设计思路。2 给出设计文件,并逐行添加注释。3 给出仿真结果,并分析得出结论。实验十四 信道解码模块设计一 实验目的:本次实验是设计型实验,旨在使学生掌握通信系统中常用的差错控制解码电路的设计。二 实验仪器:1.PC机一台2.Q

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1