ImageVerifierCode 换一换
格式:DOCX , 页数:26 ,大小:84.38KB ,
资源ID:24539736      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/24539736.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(基于quartusll 交通灯控制系统.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

基于quartusll 交通灯控制系统.docx

1、基于quartusll 交通灯控制系统一、设计任务要求交通灯控制器:用于十字路口的交通灯控制器。实验要求:1东西方向各有一组红,黄,绿灯用于指挥交通,红,黄,绿的持续时间分别为25s,5s,20s.2当有紧急情况(如消防车)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原来状态,正常工作。3组数码管,以倒计时方式显示两个方向允许通行或禁止通行的时间。二、设计思路及总体结构框图设计思路:1硬件:由设计任务要求可知,总体输入电路有:(1)在开始计时之前的等待状态,复位键reset接低电位,接通电源后,首先要将它接高电位,表示计时开始。(2)当按一下(on_off)键,表示紧急情况发

2、生,两个方向均为红灯亮,计时停止,当再次按下(on_off)键时,控制器恢复原来状态,正常工作。输出电路:(1)由于东西和南北方向都要显示时间,因此需要4个数码管,这样在设计中就需要四条输出线choose4,用来选通指定一个LED七段显示数码管.(2)显示器的每一位都采用LED七段显示数码管进行显示,每一个LED七段显示数码管都要有七条输出线控制,一共使用4个七段数码管,故输出电路使用四个七位输出信号:showtime1,showtime2,showtime3,showtime4。(3)东西和南北方向都有交通灯亮的情况,故输出电路中要有两个状态控制信号state1,state2分别控制东西和南

3、北的灯,每个方向上有4个灯(增加了左、右转弯显示控制功能),所以state1,state2的类型应该是4位数组型的.外部电路图如下: clk 4/ stas reset on_off 4/7/7/7/7/ 4/2软件:(1)在VHDL设计描述中,采用自顶向下的设计思路,该思路,首先要描述顶层的接口,上面的描述已经规定了交通灯控制的输入输出信号:输入信号:复位开关信号reset;紧急情况控制信号on_off;外部时钟信号clk。输出信号:LED七段显示数码管的选通信号choose4(3 downto 0);LED七段显示数码管的输出信号showtime1(6 downto 0),showntim

4、e2(6 downto 0),showtime3(6 downto 0),showtome4(6 downto 0);交通灯状态控制信号state1(3 downto 0),state2(3 downto 0).(2)在自顶向下的VHDL设计描述中,通常把整个设计的系统划分为几个模块,然后采用结构描述方式对整个系统进行描述.根据实验设计的结构功能,来确定使用哪些模块以及这些模块之间的关系。由于紧急情况控制信号是采用按键的输入方式,其产生时刻和持续时间的长短是随机不定的,且存在因开关簧片反弹引起的电平抖动现象,因此必须在每个开关后面安排一个消抖和同步化电路模块,以保证系统能捕捉到输入脉冲,故需要

5、有防抖动的模块。由于外部时钟信号clk的频率为1MHz,而实际需要的内部计时时钟频率为1Hz,提供给消抖同步电路的频率为50Hz(满足按键)和提供给产生选通信号电路的时钟频率为200Hz(满足视觉暂留效应)。当正常计时开始后,需要进行定时计数操作,由于东西和南北两个方向上的时间显示器是由两个LED七段显示数码管组成的,因此需要产生两个2位的计时信息:2个十位信号,2个个位信号,这个定时计数操作可以由一个定时计数器来完成,又因为交通灯的状态变化是在计时为0的情况下才能进行的,因此需要一个计时电路来产生使能信号,因此定时计数的功能就是用来产生2个2位计时信息和使能信号。另外还需要将时间显示出来,为

6、了节省资源,我采用了循环点亮LED七段显示数码管的方法来显示计时输出。通过信号choose4(3 downto 0)来对4个LED七段显示数码管进行选择。由于不能使用7448自动译码集成电路,故在LED七段显示数码管显示时间时,要把计时结果转换为七段码输出到相应的LED七段显示数码管上,因此还需要一个转换电路。交通灯状态控制也需要一个电路,当有使能信号及无紧急情况下,交通灯状态不发生变化,有紧急情况时,两个方向上均为红灯亮,紧急情况消除后,回到原来状态,无使能信号时,交通灯状态不变.通过上面的分析,不难得知可以把交通灯控制系统划分为6个模块:键输入模块,时钟分频模块,计时模块,选通模块,显示模

7、块,控制模块。各个模块之间的连接关系如下:reset showtimeon_off reset0 on_off0 clk1 timel,times clk2 clk0 choose4三、总体结构框图 No yes Yes No No Yes No Yes Yes No No Yes Yes No No Yes Yes No Yes四、分块电路设计。(1)键输入模块(keyin)输入信号:紧急情况on_off;用来消除抖动的时钟信号clk1,由时钟分频模块提供。输出信号:去抖后的提示信号on_off0。(2)时钟分频模块(clk_div)输入信号:外部时钟信号clk;输出信号:消除抖动的时钟信号

8、clk1;计时内部时钟信号clk2;产生选通信号的时钟信号clk0。(3)计时模块(time):输入信号:定时计时时钟clk2,由时钟分频模块提供;去抖动后的提示信号on_off0;复位信号reset;状态提示信号state(1 downto 0);输出信号:东西方向的十位信号eq1(3 downto 0);东西方向的个位信号eq0(3 downto 0);南北方向的十位信号sq1(3 downto 0);南北方向的个位信号sq0(3 downto 0);使能信号timel,times。(4)选通模块(choose):输入信号:选通时钟信号clk0,由时钟分频模块提供。输出信号:选通信号cho

9、ose4(3 downto 0)。(5)显示模块(display):输入信号:计时的十位或个位信号;输出信号:控制LED七段显示数码管的七位数组型信号。(6)控制模块(keep):输入信号:复位信号reset;去抖后的紧急情况提示信号on_off0;定时计时时钟信号clk2;使能信号timel,times;输出信号:东西方向交通灯状态控制信号state1(3 downto 0);南北方向交通灯状态控制信号state2(3 downto 0);交通灯状态提示信号state(1 downto 0)。五、总体电路图(图形法)。六、仿真波形状态变化仿真图:以下显示选通信号的仿真波形七、源程序主模块:-

10、traffic module-library ieee;use ieee.std_logic_1164.all;use ieee。std_logic_arith。all;use ieee.std_logic_unsigned.all;entity tracfic is port(reset : in std_logic;-复位信号 clk : in std_logic;-外部时钟信号 showtime1,showtime2,showtime3,showtime4: out std_logic_vector(6 downto 0);- 控制LED七段显示数码管的七位数组型信号 choose4 :

11、 out std_logic_vector(3 downto 0);-选通信号 on_off : in std_logic;-紧急情况控制信号 state1,state2 : out std_logic_vector(3 downto 0));交通灯状态控制信号 end tracfic;architecture system of tracfic is-元件例化调用 component keyin-去抖动模块 port(a,b:in std_logic; c :out std_logic); end component; component time-计时模块 port(a,b,k:in st

12、d_logic; c:in std_logic_vector(1 downto 0); d,e,f,g:out std_logic_vector(3 downto 0); j,h:out std_logic); end component; component choose -选通模块 port(a:in std_logic; b:out std_logic_vector(3 downto 0); end component; component display -显示模块 port(a:in std_logic_vector(3 downto 0); b:out std_logic_vect

13、or(6 downto 0); end component; component clk_div-时钟分频模块 port(a:in std_logic; b,c,d:out std_logic); end component; component keep-状态控制模块 port(a,b,c,g,j:in std_logic; d,e:out std_logic_vector(3 downto 0); f:out std_logic_vector(1 downto 0)); end component; signal on_off0:std_logic; 紧急情况经去抖后的输出信号; sign

14、al state:std_logic_vector(1 downto 0); 控制计数器的状态提示信号; signal clk0,clk1,clk2:std_logic; 时钟分频后的输出信号; signal eq0,sq0:std_logic_vector(3 downto 0); 南北和东西计数器的个位输出; signal eq1,sq1:std_logic_vector(3 downto 0); 南北和东西计数器的十位输出; signal timel,times:std_logic; 计数器对控制器的反馈信号;begin 用信号把各个模块连起来; u1:keyin port map(cl

15、k1,on_off,on_off0); u3:time port map(clk2,on_off0,reset,state,eq0,eq1,sq0,sq1,timel,times); u4:choose port map(clk0,choose4); u5:display port map(eq0,showtime1); u6:display port map(eq1,showtime2); u7:display port map(sq0,showtime3); u8:display port map(sq1,showtime4); u9:keep port map(on_off0,timel

16、,times,clk2,reset,state1,state2,state); u10:clk_div port map(clk,clk0,clk1,clk2); end system;去抖模块:-keyin module-library ieee;use ieee。std_logic_1164.all;entity keyin is port(A,B :in std_logic; C :out std_logic);end keyin;architecture keyin_arc of keyin is component kand2 port(A,B :in std_logic; C :o

17、ut std_logic);end component; component kdf port(A,B :in std_logic; C,D :out std_logic);end component; component knand2 port(A,B :in std_logic; C :out std_logic);end component;signal TMP1,TMP2,TMP3,TMP4,TMP5,TMP6:std_logic;begin u0: knand2 port map(A,TMP1,TMP2); u1: knand2 port map(TMP2,TMP3,TMP1); U

18、2: kdf port map(TMP2,B,TMP4,TMP3); U3: kdf port map(TMP4,B,TMP6,TMP5); u4: kand2 port map(TMP4,TMP5,C);end keyin_arc;library ieee;-two inputs and gate descriptionuse ieee。std_logic_1164。all;entity kand2 is port(A,B :in std_logic; C :out std_logic);end kand2;architecture kand2_arc of kand2 isbegin C=

19、A and B;end kand2_arc;-end of two inputs and gate descriptionlibrary ieee;-two inputs and_not gate descriptionuse ieee.std_logic_1164。all;entity knand2 is port(A,B :in std_logic; C :out std_logic);end knand2;architecture knand2_arc of knand2 isbegin C=not(A and B);end knand2_arc;-end of two inputs a

20、nd_not gate descriptionlibrary ieee;-D trigger descriptionuse ieee。std_logic_1164。all;entity kdf is port(A,B :in std_logic; C,D :out std_logic);end kdf;architecture kdf_arc of kdf isbeginprocess(B)begin if(Bevent and B=1)then C=A;D=not A; end if; end process;end kdf_arc;选通模块:该模块是为节省资源而设的,实验中有四个LED七段

21、数码管显示计数,点亮一个LED需电流550mA,同时点亮4个LED,CPLD可能无法负荷这样的电流驱动,而且功率太大,散热也是问题。同时这么做也容易造成电路被烧毁,因此需要逐个循环点亮。又为使显示结果持续不致闪烁抖动,只需每个扫描频率超过人眼视觉暂留频率24Hz以上,就能达到。选择200Hz作为时钟,分到4个数码管,每个数码管50Hz(大于24Hz),故不会有闪烁。library ieee;-choose module-use ieee。std_logic_1164.all;use ieee。std_logic_unsigned.all;entity choose is port(a:in s

22、td_logic; b:out std_logic_vector(3 downto 0));end choose;architecture choose_arc of choose issignal m :integer range 0 to 3;begin process(a) begin if(aevent and a=1)then if(m=3)then m=0; else m=m+1; end if; end if; case m is when 0 =b=0111; when 1 =b=1011”; when 2 =b=”1101”; when 3 =b=1110”; end cas

23、e;end process;end choose_arc;分频模块:实验中需要三个不同频率的时钟:消抖电路需要10Hz的时钟,选通电路需要200Hz的时钟,计时需要1Hz的时钟。外部提供的时钟的频率为1MHz,故需要经过分频得到,但由于外部时钟的频率和我们所需要的时钟的频率相差太远,一次分频到底又占用太多的资源,所以我采用的是先对外部时钟进行一定的分频,再依次向下分,得到我们需要的时钟,这样可以节省很多资源。library ieee;-clk_div module-use ieee。std_logic_1164。all;entity clk_div is port(a:in std_logic

24、;-外部时钟信号 b:out std_logic;-频率为200Hz的时钟信号 c:out std_logic;-频率为10Hz的时钟信号 d:out std_logic);-频率为1Hz的时钟信号end clk_div;architecture clk_div_arc of clk_div issignal clk_10k:std_logic;signal clk_200:std_logic;signal clk_10:std_logic;signal clk_1:std_logic;signal m:integer range 0 to 100;signal n:integer range

25、 0 to 50;signal l:integer range 0 to 20;signal g:integer range 0 to 10;beginp1: process(a)-先对外部时钟进行100分频,得到频率为10KHz的时钟信号 begin if(aevent and a=1)then if m=99 then m=0; else m=m+1; end if; if (m=49) then clk_10k=0; else clk_10k=1; end if; end if;end process;p2:process(clk_10k)-对10KHz的时钟信号再进行50分频,得到20

26、0Hz的时钟信号 begin if (clk_10kevent and clk_10k=1)then if n=49 then n=0; else n=n+1; end if; if n=24 then clk_200=0; else clk_200=1; end if; end if;end process;b=clk_200;p3:process(clk_200)-对200Hz的时钟信号进行20分频,得到10Hz的时钟信号 begin if(clk_200event and clk_200=1)then if l=19 then l=0; else l=l+1; end if; if l=

27、9 then clk_10=0; else clk_10=1; end if; end if;end process;c=clk_10;p4:process(clk_10)-对10Hz的时钟信号进行10分频,得到需要的1 Hz的时钟信号 begin if (clk_10event and clk_10=1)then if g=9 then g=0; else g=g+1; end if; if g=4 then clk_1=0; else clk_1=1; end if; end if ; end process;db=0111111;-以下是译码部分 when 0001 =b=”0000110”; when 0010 =bb=1001111”; when 0100 =bb=1101101”; when ”0110 =bb=0000111; when ”1

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1