ImageVerifierCode 换一换
格式:DOCX , 页数:13 ,大小:9.83MB ,
资源ID:24242861      下载积分:3 金币
快捷下载
登录下载
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。 如填写123,账号就是123,密码也是123。
特别说明:
请自助下载,系统不会自动发送文件的哦; 如果您已付费,想二次下载,请登录后访问:我的下载记录
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 

温馨提示:由于个人手机设置不同,如果发现不能下载,请复制以下地址【https://www.bdocx.com/down/24242861.html】到电脑端继续下载(重复下载不扣费)。

已注册用户请登录:
账号:
密码:
验证码:   换一换
  忘记密码?
三方登录: 微信登录   QQ登录  

下载须知

1: 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。
2: 试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。
3: 文件的所有权益归上传用户所有。
4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
5. 本站仅提供交流平台,并不能对任何下载内容负责。
6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

版权提示 | 免责声明

本文(34利用拨码开关控制数码管进行十六进制数字显示说明书.docx)为本站会员(b****2)主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(发送邮件至service@bdocx.com或直接QQ联系客服),我们立即给予删除!

34利用拨码开关控制数码管进行十六进制数字显示说明书.docx

1、34利用拨码开关控制数码管进行十六进制数字显示说明书中北大学课 程 设 计 说 明 书学生姓名:胡修勇学 号:1106024234学 院:仪器与电子学院专 业:微电子科学与工程题 目:利用拨码开关控制数码管进行十六进制数字显示指导教师: 李圣昆 职称: 讲师 2014 年 6 月 22 日1.课程设计目的12课程设计内容和要求13设计方案及其实现13.1设计思路 13.2工作原理及原理图 13.3模块功能描述 23.4仿真结果 33.5实验箱验证情况 44课程设计总结85参考文献81课程设计目的(1)学习操作数字电路设计实验开发系统,掌握液晶显示器的工作原理及应用。(2)掌握组合逻辑电路、时序

2、逻辑电路的设计方法。(3)学习掌握可编程器件设计的全过程。2课程设计内容和要求1学习掌握拨码开关控制模块、数码管的工作原理及应用;2.熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑;3.仿真所编写的程序,模拟验证所编写的模块功能;4.下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;5.整理设计内容,编写设计说明书。3设计方案及其实现3.1设计思路由于七段数码管(外加小数点)是共阴极的,可以用七个电平驱动数码管的显示。通过对不同管脚的点亮,使数码管显示16进制的每个数字。可以用四个拨码开关组合成4位二进制对应BCD码以表示16进制。当四位BCD码变化时,在输出端输出

3、相应的高低电平以驱动七段数码管的显示。3.2工作原理及原理图七段共阴极数码管由数码管由abcdefg七段组成,分别对不同的引脚写高电平可以点亮。在输入端输入相应的bcd码,在输出端就会产生相应的电平以驱动数码管的显示。由于只需要16个数的显示。那么拨码开关只需用四个就可以实现16个数字的表示。工作原理图如下: 七段数码管如下图所示:由图知,如果要显示数字“0”,只需点亮abcdef段,对应的输出端电平为1111110.要显示数字“1”,只需点亮bc段,对应的输出端电平为0110000如果要显示字母“A”,只需点亮abcefg段,对应的输出端电平为1110111.如果要显示字母“b”,只需点亮c

4、defg段,对应的输出端电平为0011111.同理可以显示其他数字和字母。3.3模块功能描述根据输出功能的要求,写出如下vhdl代码已实现所需的功能。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity bomakaiguan isport(indata:in std_logic_vector(3 downto 0); output:out std_logic_vector(6 downto 0);end entity;archit

5、ecture action of bomakaiguan issignal Y:std_logic_vector(6 downto 0);begin process(indata) begin case indata is when0000=YYYYYYYYYYYYYYYYY=0001000; end case; output=Y; end process;end architecture;3.4仿真结果通过对四个输入端(对应于拨码开关的高或低电平信号)输入十六个四位BCD码数字,然后仿真得出以下波形图,有七个输出端分别对应数码管的七个段发光二极管。3.5实验箱验证情况 对FPGA分配引脚,保

6、存并重新编译,如下图。将程序仿真编译后下载到FPGA芯片,如图。拨动拨码开关,可以在数码管上依次显示16进制的数字。以下是拨动拨码开关显示的16进制数的截图,从0到F的显示。4课程设计总结通过本次实验,掌握了对FPGA的简单控制、应用及开发流程。要实现某一功能,首先应根据要求查找相关资料,确定实现方案。然后设计输入,对输入的文件编译后波形仿真。经过仿真后下载到FPGA再进行验证,最终实现功能。5参考文献1任勇峰,庄新敏.VHDL与硬件实现速成.北京:国防工业出版社,20052.侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计.西安:西安电子科技大学出版社,19993.基于FPGA的数字系统设计.西安:西安电子科技大学出版社,20084.罗苑棠.CPLD/FPGA常用模块与综合系统设计实例精讲.北京:电子工业出版社,2007

copyright@ 2008-2022 冰豆网网站版权所有

经营许可证编号:鄂ICP备2022015515号-1